Развитие диагностических методов для задач проекционной литографии 13,5 нм тема автореферата и диссертации по физике, 01.04.01 ВАК РФ

Пестов, Алексей Евгеньевич АВТОР
кандидата физико-математических наук УЧЕНАЯ СТЕПЕНЬ
Нижний Новгород МЕСТО ЗАЩИТЫ
2006 ГОД ЗАЩИТЫ
   
01.04.01 КОД ВАК РФ
Диссертация по физике на тему «Развитие диагностических методов для задач проекционной литографии 13,5 нм»
 
Автореферат диссертации на тему "Развитие диагностических методов для задач проекционной литографии 13,5 нм"

На правах рукописи

Пестов Алексей Евгеньевич

РАЗВИТИЕ ДИАГНОСТИЧЕСКИХ МЕТОДОВ ДЛЯ ЗАДАЧ ПРОЕКЦИОННОЙ ЛИТОГРАФИИ 13,5 НМ

01.04.01 — приборы и методы экспериментальной физики

Автореферат диссертации на соискание ученой степени кандидата физико-математических наук

Нижний Новгород - 2006

Работа выполнена в Институте физики микроструктур РАН

Научные руководители:

член — корреспондент РАН, доктор физико-математических наук, Салащенко H.H.

кандидат физико-математических наук, Чхало Н.И.

Официальные оппоненты:

доктор физико-математических наук, Асадчиков В.Е.

кандидат физико-математических наук Дроздов Ю.Н.

Ведущая организация: Институт проблем технологии

микроэлектроники и особочистых материалов РАН, Московская область, Черноголовка

Защита состоится « 16 » ноября 2006 г. в 14 часов на заседании диссертационного совета Д 002.098.01 в Институте физики микроструктур РАН 603950, Нижний Новгород, ГСП - 105.

С диссертацией можно ознакомиться в библиотеке Института физики микроструктур РАН.

Автореферат разослан « \6 » октября 2006 г.

Умелый секретарь диссертационного совета, доктор физико-математических наук, профессор

Гайкович К.П.

ОБЩАЯ ХАРАКТЕРИСТИКА РАБОТЫ

Актуальность темы

В настоящее время мы являемся свидетелями стремительного прогресса в технологии микроэлектронных устройств. Ключевым звеном в технологическом прогрессе является литографическое оборудование. Оно включает в себя источник излучения, оптическую систему переноса изображения, систему позиционирования, сканирования и совмещения маски и фотошаблона.

Основные успехи в области субмикронной литографии связаны с применением эксимерных лазеров с длинами волн 248 и 193 нм. Мировым лидером по производству литографического оборудования является нидерландская компания ASM Lithography. Выпускаемая этой компанией литографическая установка TWINSCAN AT: 1200В, оснащенная 20-Вт ArF — эксимерным лазером с рабочей длиной волны 193 нм, обеспечивает пространственное разрешение 80 нм на пластинах диаметром 300 мм. Однако возможности оптических систем ограничены дифракционным пределом, соответственно разрешаемая полоса не может быть существенно меньше длины волны света. Согласно критерию Рэлея, эта величина в проекционных оптических системах прямо пропорциональна длине волны

кХ

света X: amia =-, здесь к - коэффициент пропорциональности (порядка

NA

1), NA — числовая апертура объектива. Возможности увеличения диаметров линз практически исчерпаны как экономически (высокая стоимость линз с большой апертурой), так и технологически. Поэтому производители сверхбольших интегральных схем (СБИС) ведут работы в области корректировки изображения маски, благодаря которым распределение освещенности на подложке соответствует желаемому, несмотря на дифракцию излучения. Другое решение заключается в применении так называемых фазосдвигающих фотошаблонов. На их поверхность селективно наносятся материалы, которые перекрывают пучки, приводящие к размытости изображения, вызванной дифракцией. Оба этих пути ведут к усложнению, а значит и удорожанию, конструкции масок, а также к существенному браку при их производстве.

В рамках УФ — литографии перспективным считается уменьшение длины волны излучения, в частности - переход к длине волны 157 нм (излучение эксимерного F2 — лазера). Однако возможности и этой технологии для перехода к размерам нанометрового диапазона также ограничены, в том числе, и существующими в настоящее время материалами линз.

Все это обращает внимание на экстремальный ультрафиолетовый (ЭУФ) диапазон электромагнитного излучения (30>Х>10 нм). Именно здесь в последнее время достигнут заметный прогресс при создании отражательных оптических элементов и высокоэффективных источников излучения. Одним из центральных моментов здесь можно считать создание зеркал, обладающих высоким коэффициентом отражения в ЭУФ и мягкой рентгеновской (МР) области спектра. Для этого используются многослойные брэгговские покрытия на атомарно-гладкой поверхности массивной подложки с заданной кривизной.

На многослойных рентгеновских зеркалах на основе пары материалов Mo/Si в настоящее время достигнут коэффициент отражения, приближающийся к 70% при длине волны 13,4 нм (теоретический предел %). Существуют другие эффективные решения, обеспечивающие Л 260%, но все они относятся к диапазону длин волн 10-15 нм с абсолютным теоретическим максимумом в районе 13,4 нм [1,2]. Это и есть основная причина, по которой все разработки в настоящее время сосредоточены на использовании именно этой длины волны.

Вся литографическая установка может быть представлена в виде четырех основных блоков: а) источник ЭУФ излучения; б) маска, с нанесенным на нее рисунком ИС; в) проекционная оптическая система; г) образец (пластина), с нанесенным на его поверхность ЭУФ резистом.

Источником ЭУФ излучения обычно являются лазерная плазма, генерируемая импульсным излучением мощного частотного лазера, сфокусированным на некоторую мишень или сильноточный разряд в газе [3]. Основной проблемой, не позволяющей до настоящего времени достичь требуемого уровня мощности ЭУФ излучения, является эрозия электродов и изоляторов разрядной камеры под воздействием мощных тепловых потоков и бомбардировки быстрыми ионами (ионное распыление). Одним из следствий этого является загрязнение поверхности зеркал продуктами этой эрозии. Остроту проблемы можно проиллюстрировать на примере того, что в течение нескольких минут работы первых газоразрядных источников на основе Хе при скромных энергетических параметрах коэффициенты отражения зеркал падали на порядки величин [4].

Основные цели работы:

1. Разработать рефлектометр, предназначенный для изучения влияния источников излучения и вакуумных условий на коэффициенты отражения зеркал на длине волны 13,5 нм. Рефлектометр должен иметь обнаружительную способность на уровне лучше 1%.

2. Определить коэффициент конверсии энергии электронного пучка в энергию флуоресценции кремниевой линии в ЭУФ диапазоне. На основе этих исследований оптимизировать энергию электронного пучка, углы

падения электронов и отбора излучения из мишени с целью разработки рентгеновской трубки с максимальной яркостью на длине волны 13,5 нм.

3. Показать возможность применения рентгеновских трубок (РТ) для задач проекционной литографии на длине волны 13,5 нм.

4. Развитие методики диффузного рассеяния с целью детального изучения структуры переходных слоев в многослойных рентгеновских зеркалах (МРЗ).

Научная новизна работы

1. Разработан, изготовлен и введен в эксплуатацию лабораторный рефлектометр, позволяющий определять абсолютное значение коэффициентов отражения и пропускания на длине волны 13,5 нм с точностью 0,1%. Ранее такие точности были доступны только в синхротронных центрах. Использование пары многослойных рентгеновских зеркал в качестве монохроматора позволило более чем на три порядка повысить интенсивность зондирующего пучка на образце по сравнению со стандартным рефлектометром скользящего падения на основе дифракционной решетки - РСМ-500, Путем смены пары зеркал монохроматора прибор может быть перестроен на любой другой диапазон длин волн, для которых имеется возможность напыления МРЗ с высокими коэффициентами отражения при нормальных углах падения излучения.

2, Впервые экспериментально измерен коэффициент конверсии энергии электронного пучка в энергию характеристической линии Si ¿а, изучены угловые зависимости выхода излучения из кремниевой мишени.

3, Показана возможность использования рентгеновской трубки с кремниевой мишенью для решения ряда задач проекционной ЭУФ литографии на длине волны 13,5 нм.

4. Впервые удалось разделить вклады перемешивания и микрошероховатости в несовершенство межслоевых границ в многослойных структурах.

Практическая значимость работы

1. Появление светосильного рефлектометра позволило начать работы по исследованию влияния источников ЭУФ излучения на коэффициенты отражения МРЗ, а также существенно, более чем на порядок, увеличить точность определения коэффициентов пропускания тонкопленочных абсорбционных фильтров (ТАФ) и отражения МРЗ, а также сократить время измерений. Помимо МРЗ и ТАФ прибор позволяет изучать чувствительность и пространственное разрешение ЭУФ резистов, флуоресценцию материалов в MP и ЭУФ диапазонах и др.

2. Изучены особенности работы вторичных электронных умножителей на основе микроканальных пластин (МКП) и каналовых электронных

умножителей (КЭУ) в условиях высоких интенсивностей падающего излучения. Созданы две детекторные системы. Универсальная детекторная система предназначена для регистрации излучения в ЭУФ и МР диапазонах в режиме счета фотонов. Она позволяет работать с различными типами детекторов: фотоэлектронные умножители, шевронные и 2-сборки МКП, каналовые умножители. Спектрально-селективная детекторная система позволяет проводить аттестацию источников ЭУФ излучения для литографических установок и нашла применение в ряде лабораторий.

3. Разработанные в рамках диссертационной работы рентгеновские трубки находят применение в работах как по ЭУФ литографии, так и для рефлектометрии МР и ЭУФ диапазона.

4, Развита методика диффузного рассеяния, при помощи которой получен ряд результатов, важных как для понимания физики роста многослойных структур (МС), так и для дальнейшего совершенствования технологии роста.

Основные положения, выносимые на защиту

Основные положения, выносимые на защиту формулируются следующим образом:

1. Создан светосильный лабораторный рефлектометр, позволяющий изучать коэффициенты отражения и прохождения элементов рентгеновской и экстремальной ультрафиолетовой оптики с точностью на уровне 0,1%.

2. Оптимизированы параметры работы детекторов на основе микроканальных пластин и каналовых электронных умножителей в условиях интенсивных потоков падающего излучения. Разработаны две детекторные системы, предназначенные для регистрации МР и ЭУФ излучения. Универсальная система обеспечивает регистрацию излучения в режиме счета единичных фотонов. Вторая, спектрально-селективная, предназначена для паспортизации источников ЭУФ излучения для литографии 13,5 нм.

3. Измерен коэффициент конверсии энергии электронного пучка в энергию флуоресцентного излучения с длиной волны 13,5 нм, который для электронов с энергией 6 кэВ составил 3,03 • 1 О*6. Определены, как экспериментально, так и теоретически, угловые зависимости интенсивности флуоресценции 5/ ¿а линии.

4. Экспериментально показана возможность применения рентгеновских трубок с кремниевым анодом для ряда задач проекционной литографии 13,5 нм. Предложена дифракционная маска, которая уже на данном этапе позволит исследовать пространственное разрешение фоторезистов на 13,5 нм с разрешением до 15 нм.

5. Разработана методика диффузного рассеяния (ДР) для исследования внутреннего строения МС. Основные преимущества этой методики по

сравнению с развитыми ранее: возможность учета динамических эффектов ДР без привлечения трудоемкого алгоритма рекуррентных соотношений и разделение вкладов микрошероховатости и перемешивания слоев в длину переходных областей МС. Этим методом изучены МС на основе W/B4C, Mo/Si, Получен ряд физических результатов, важных как для понимания физики роста МС, так и для дальнейшего совершенствования технологии роста.

Личный вклад автора в получение результатов

- Равнозначный в разработку оптической схемы, конструкционные особенности двухзеркального рефлектометра (совместно с Н.И. Чхало) [AI, A3, А5, А7, А12, А13].

- Основной в отработку методик и проведение измерений коэффициентов отражения многослойных зеркал и пропускания тонко пленочных фильтров на длине волны 13,5 нм с точностью лучше 0,2% [А18, А19, А20, А21, А22].

- Определяющий в работе по изучению детекторов для МР и ЭУФ диапазона, оптимизации их параметров и режимов работы [А2, А9, А10, А17].

- Определяющий в постановке и проведении экспериментов по определению коэффициента конверсии энергии электронного пучка в энергию флуоресценции характеристической линии Si La [А 18, А22].

- Равнозначный в изучении ЭУФ резиста на длину волны 13,5 нм, определении порога чувствительности и получении первого литографического изображения при использовании РТ с кремниевой мишенью в качестве источника ЭУФ излучения, а также подготовке эксперимента по изучению пространственно разрешения резиста на наномасштабах (соместно с Н.И. Чхало, А.Я. Лопатиным, Д.Г. Раскиным).

- Равнозначный в разработку РТ и оптимизацию условий генерации излучения с длиной волны 13,5 нм РТ с кремниевой мишенью (совместно с Н.И. Чхало) [А2, А7, А12, А22].

- Равнозначный в развитие методики изучения структурных параметров многослойных структур методом диффузного рассеяния (совместно с А.А, Фраерманом и Н.И. Чхало) [A4, А6, А8, Al 1, А14, А15, А16].

Апробация работы

Все работы были представлены в реферируемых научных и специализированных изданиях и докладывались на научных конференциях. Апробация содержащихся в данной диссертационной работе результатов проводилась на следующих научных конференциях, симпозиумах и совещаниях:

на конференции по применению рентгеновского, синхротронного излучений, нейтронов и электронов для исследования материалов. ИК РАН. Москва. 17-22 ноября 2003; на международной конференции в Саппоро (Proceedings of the 7Л International Conférence on the Physics of X-Ray Multilayer Structures. March 7-11 2004. Rusutsu Resort, Sapporo. Japan); на всероссийской конференции по использованию синхротронного излучения СИ-2002, ИЯФ им. Г.И. Будкера СО РАН, Новосибирск, 2002; на конференции "Рентгеновская оптика-2002" ИФМ РАН. Нижний Новгород; на совещании "Рентгеновская оптика-2004". ИФМ РАН. Нижний Новгород. 2004; на совещании "Рентгеновская оптика-2005". ИФМ РАН. Нижний Новгород. 2005; на совещании "Нанофизика и наноэлектроника-2006". ИФМ РАН. Нижний Новгород. 2006.

Публикации по теме диссертации

По представленным на защиту материалам автором опубликовано 23 работы. Из них 8 статей в научных журналах и 15 публикации в сборниках конференций и тезисов докладов. Список публикаций приведен в конце автореферата [А1-А23].

Структура и объем диссертации

Диссертация состоит из введения, пяти глав, основных выводов и списка литературы. Объем диссертации составляет 151 страницу, приведено 93 рисунка и 15 таблиц. Обзор современных достижений, представленных в научных публикациях других исследовательских групп в областях диссертационного исследования по всем представленным направлениям, рассматривается в начале каждой соответствующей главы.

ОСНОВНОЕ СОДЕРЖАНИЕ РАБОТЫ

Во введении обоснована актуальность темы исследований, изложены цели работы, отражена научная новизна и практическая значимость полученных результатов, приведены основные положения, выносимые на защиту, и дан краткий обзор характеристики выполненных исследований.

Первая глава диссертационной работы посвящена светосильному, лабораторному рефлектометру, предназначенному прежде всего для изучения загрязнений зеркал в литографических установках. Подробно описывается оптическая схема и принцип работы прибора. Особое внимание отводится описанию монохроматора на основе двух (сферического и плоского) многослойных зеркал. Отдельный параграф отведен мотивации выбора МРЗ в качестве диспергирующих элементов (рассматриваются вопросы селективности МС, коэффициентов отражения на рабочей длине волны 13,5 нм), указываются преимущества зеркал по

сравнению с дифракционными решетками. Сравнение прибора со стандартным рефлектометром на базе спектрометра скользящего падения с дифракционной решеткой в качестве диспергирующего элемента РСМ-500 показало, что интенсивность зондирующего пучка в данном приборе более, чем на три порядка выше. Это связано с тем, что прибор имеет большую светосилу из-за большой рабочей апертуры зеркал и большую эффективность — коэффициенты отражения от зеркал больше, чем эффективность решетки. Параметры сравнения приведены в таблице 1.

Таблица 1. Сравнение двухзеркального рефлектометра (D V1R) и РСМ-50(

РСМ-500 ГА1] DMR [A3] Выигрыш

X, нм 13,5 13,5 -

АХ, нм 0,15 0,15 -

Диаметр зондирующего пучка на образце, мм 1 1 -

размер источника, мм2 0,1-0,5 0,50,5 5

Q (сферическое зеркало РСМ), стеррад 9,0*1 О*6 3,5-10'2 3,8-103

ii (торроидальное зеркало РСМ), стеррад - 2,5-10*4 3,5-10'2 1,4Ю2

Эффективность отражения МО1 3,9-10'1 3,9

Суммарный выигрыш (сферическое зеркало РСМ) 7,4-104

Суммарный выигрыш (торроидальное зеркало РСМ) 2,7- 10J

Наиболее подробно в данной главе представлены результаты тестирования прибора. Проводилось изучение размера пучка для повышения локальности и точности измерений. Приводятся кривые, демонстрирующие возможности прибора по определению малых изменений коэффициентов отражения:

43000. ..........................——.......

40000- ——у»*» »V

35000--£-

30000--1-

23000--i-

20000--'-

13000--4-

10000 —i-

5™°\J

t 5 4 UI72I2S2»» JJJ74MS-WJJ57

РисЛ.а). Зависимости интенсивности отраженного излучения от координаты точки падения излучения на образец (10 измерений).

Рис. 1.6). Усреднение по 10 зависимостям приведенное в другом масштабе.

Видно, что особенность, составляющая всего 0,2%, надежно разрешается.

Также, в данной главе продемонстрирована возможность расширения области применения прибора для решения более широкого класса задач, нежели только измерение относительных коэффициентов отражения, в частности, показана возможность измерения абсолютного значения коэффициентов пропускания тонкопленочных абсорбционных фильтров на просвет с точностью определения этого коэффициента на уровне 0,1%. Подобный прибор поставлен в Голландию в компанию АБМЬ, где активно и успешно работает для аттестации образцов, подвергшихся воздействию эрозионных потоков из источника ЭУФ излучения.

Вторая глава посвящена изучению характеристик однофотонных детекторов. Высокие требования к точности современных рефлектометрических схем заставили более внимательно посмотреть на возможное влияние характеристик детектора на регистрируемые величины. В частности, на проблему линейности регистрации потоков рентгеновского и ЭУФ излучения. В главе описываются методики исследования основных характеристик детекторов. Приводится сравнение характеристик различных типов детекторов. В рамках диссертационной работы изучались два типа детекторов - это каналовые электронные умножители (КЭУ) и разработанные совместно с НИИЭПР (г. Москва) детекторы на основе микроканальных пластин (МКП). Результатом работы по оптимизации параметров работы детекторов явилась разработка двух детекторных систем. Универсальная детекторная система (рис.2), предназначена для регистрации МР и ЭУФ излучения, а также любых корпускулярных потоков вызывающих вторичную электронную эмиссию. Она может работать с различными типами детекторов, такими как фотоэлектронные умножители, детекторы на основе МКП и КЭУ. Электроника обеспечивает скорость счета до 106 фотонов в секунду.

Рис.2. Фотография детекторной Рис.3. Оптическая схема измерителя системы. мощности.

Значительное внимание в данной главе уделяется абсолютно калиброванному измерителю мощности (рис.3). Его особенностью является двухзеркальный монохром атор, с помощью которого можно промоделировать спектральную полосу пропускания любой многозеркальной схемы литографической установки. Он предназначен для аттестации источников для ЭУФ литографов. В качестве детектора здесь используется кремниевый поверхностно барьерный диод AXUV-100 фирмы IRD (США), прокалиброванный на синхротроне BESSY-2 (Германия). В настоящее время несколько таких приборов поставлено в различные лаборатории мира и используются для изучения источников для ЭУФ литографии.

В третьей главе изучаются особенности применения разборных рентгеновских трубок для генерации излучения с длиной волны 13,5 нм. Описываются разработанные в ходе работы над диссертацией две рентгеновские трубки, имеющие ряд важных особенностей, выделяющих их из ряда подобных устройств. Особенностью первой из них (см. рис. 4) является наличие встроенного ионного источника, который обеспечивает чистку мишени методом ионно-пучкового травления без вскрытия на атмосферу, за счет чего удалось повысить стабильность излучательных характеристик и продлить срок службы термокатода. В настоящее время эксплуатируется несколько таких трубок. И наработка каждой уже превысила 3000 часов. Дтя генерации излучения 13,5 нм РТ комплектуется специальным держателем мишеней, содержащим две мишени вольфрама и две - кремния, смена мишени осуществляется вращением держателя без вскрытия на атмосферу. При работе с вольфрамовой мишенью используется широкополосное тормозное излучение; при работе с кремнием - линия Si Lay имеющая максимум эмиссии на длине волны 13,5 нм. Основным отличием второй трубки от большинства используемых, является применение трех электродной системы (рис.5), преимуществами которой являются: возможность управления размером пучка в широких пределах; отсутствие ионной бомбардировки термокатода, что позволило использовать материал с меньшей работой выхода — LaB6. В качестве мишени используется кремниевая пластина толщиной 0,3 мм, припаянная к водоохлождаемому медному держателю. Описывается методика измерения основных характеристик пучка ЭУФ излучения - интенсивности и размера.

г и=ик-0,2 -5- 2 кУ

V

Рис.4. Схема РТ. 1 -электронная пушка; 2-водоохлаждаемыЙ держатель; 3-припаянная мишень; 4-ионный источник; 5-рентгеновский пучок.

и|С=-6-г15кУ

Рис.5.

схема трубки.

Электронно-оптическая новой рентгеновской

Изучены угловые зависимости интенсивности флуоресценции мишени на длине волны 13,5 нм. Впервые приводится оценка коэффициента конверсии энергии электронного пучка в энергию излучения 13,5 нм. Большое внимание уделяется описанию алгоритма и программе для расчета характеристик флуоресценции в МР и ЭУФ диапазонах твердых тел под воздействием электронных пучков. Приводится сравнение экспериментально измеренных и расчетных данных по угловым зависимостям флуоресценции Ьа линии.

Четвертая глава диссертации посвящена вопросу возможности использования РТ с 57 мишенью для задач проекционной литографии 13,5 нм. Приведены прямые экспериментальные данные, подтверждающие эту возможность, впервые показана возможность использования РТ с кремниевой мишенью для задач литографии на 13,5 нм. Получены первые изображения по методу контактной литографии, определен порог чувствительности фоторезиста (резист изготовлен в НИИ Химии при ИНГУ им. Н.И. Лобачевского), значение которого составило 5 мДж/см2. Также в главе предлагается дифракционная маска, которая уже на данном этапе позволяет начать исследование фоторезистов на 13,5 нм с пространственным разрешением до 15 нм. Также рассмотрены основные требования к ЭУФ пучку для проведения этих исследований.

В пятой главе описывается развитая в рамках данной диссертационной работы методика диффузного рассеяния рентгеновского излучения многослойными структурами с коррелированными шероховатостями.

Основным фактором, снижающим коэффициент отражения МС, является несовершенство межслоевых границ, которое характеризует глубина переходной области. Разделяют два механизма, дающих вклад в глубину переходной области: это непосредственно геометрическая шероховатость (профиль границ), а также перемешивание материалов за

счет их взаимной диффузии и имплантации высокоэнергетичных частиц в процессе роста МС. В рамках данного подхода, теоретически удалось разделить вклады этих двух механизмов в глубину переходной области.

В динамическом случае необходимо полностью решить следующую систему уравнений:

, где

Др = (р»+P)J ~к°а° р„ = (0,Ага cos <рь) р = (А-и cos S sin р,ка cos tfcos«?)

, и -

составляющие волновых векторов падающей и рассеянных волн вдоль слоёв МС» ро — угол скольжения для падающей волны, у> и 3 полярный и

азимутальный углы рассеянной волны, * , X. - длина волны

рентгеновского излучения в вакууме. Фактически, ^р является отстройкой от условия Брэгга для рассеянных волн. Коэффициент at представляет собой амплитуду первой Фур ье-гармоники диэлектрической проницаемости МС. С учетом перемешивания пленок

а, = £i—~ • зт(ж • 0) • expl

* ^ ', где

Ч\ —--я

d , е/ и S2 - диэлектрические проницаемости материалов пленок, р —

f _ dr ф = Г

доля вещества 2 в периоде/р J , р •'-р. Звёздочка в

последнем выражении означает комплексное сопряжение.

чМ

ф ф а ^ 2 2

0 ~~ р=0 ~ р=0 ~ , где <7г - дисперсия шероховатостей.

А в кинематическом приближении получается простое выражение для интенсивности рассеянной волны:

' 0 , причем перемешивание входит в аа

шероховатость в fp. При р-0 (случай зеркального отражения) глубина

переходной области представляется в виде:а + <Тт .

Посредством этой методики изучались МС на основе пар материалов W/B4C с периодами от 1,5 до 0,8 нм и Mo/Si оптимизированных на длину волны 13,5 нм. Типичный спектр диффузного рассеяния представлен на рис.6 (кружочки — экспериментальная кривая, сплошная линия — результат моделирования).

ïS 0,6 !

Теория Эксперимент

Рис.6. Спектр диффузного рассеяния рентгеновского излучения от МС на основе пары ЦУВ4С с периодом 1,315 нм.

t 0,0-

6,60 6,65 6.70 6.75 Угол детектора, град.

Данная кривая получена на рентгеновском дифрактометре Philips X'Pert Pro посредством так называемого 29 — скана (угол падения излучения на

образец фиксированный, а сканирование ведется детектором). Как показали результаты моделирования в МС на основе пары W/B4C, основной вклад в несовершенство межслоевых границ вносит перемешивание, а для структур на основе Mo/Si доминирующим фактором оказывается шероховатость.

Основные результаты работы

К основным результатам диссертационной работы можно отнести:

1. Создан светосильный лабораторный рефлектометр, позволяющий изучать коэффициенты отражения и прохождения элементов рентгеновской и ЭУФ оптики с точностью на уровне 0,1%, что ранее было возможно только в синхротронных центрах.

2. Разработаны две детекторные системы, предназначенные для регистрации МР и ЭУФ излучения. Спектрально-селективная система обеспечивает аттестацию мощности источников излучения для ЭУФ литографии. В настоящее время такие системы используются в ряде лабораторий мира.

3. Измерен коэффициент конверсии энергии электронного пучка в энергию флуоресцентного излучения Si La - линии с длиной волны 13,5 нм. Экспериментально показана возможность применения рентгеновских трубок с кремниевым анодом для задач проекционной литографии 13,5 нм.

4. Предложена дифракционная маска, которая уже на данном этапе позволит исследовать пространственное разрешение ЭУФ фоторезистов с разрешением 15 нм.

5. Разработана методика диффузного рассеяния (ДР) для исследования внутреннего строения МС, Основные преимущества этой методики по сравнению с развитыми ранее: возможность учета динамических эффектов ДР без привлечения трудоемкого алгоритма рекуррентных соотношений и разделение вкладов микрошероховатости и перемешивания слоев в длину переходной области МС. Этим методом изучены МС на основе Mo/Si, W/B4C. Получен ряд результатов, важных как для понимания физики, так и для дальнейшего совершенствования технологии роста МС.

Список цитируемой литературы

[1] Kim, D.-E. Optimized Structures of Multilayer Soft X-Ray Reflectors in the Spectral Range of 30 to 300 A / D.-E. Kim, D.-H. Cha, S.-W. Lee // Japanese Journal of Applied Physics. - 1998. - Vol. 37. - P. 2728-2733.

[2] Сала щеп ко, H.H. Исследования в области многослойной рентгеновской оптикив ИФМ РАН / Н.Н. Салащенко // Материалы всероссийского совещания "Рентгеновская оптика". Нижний Новгород. - 1998. - С, 53-68.

[3] Mohanty, S.R. Recent progress in EUV source development at GREMI / S.R. Mohanty, C. Cachoncinlle, C. Fleurier, E. Robert, J,-M. Pouvesle, R. Viladrosa, R. Dussart // Microelectronic engineering. - 2002. - Vol. 61-62. -P. 179-185.

[4] Stam, U. High power EUV lithography sources based on gas discharges and laser produced plasmas/ U. Stam, I. Ahmad, I. Balogh, H. Bimer, D. Bolshukhin, J. Bruderman, S. Enke, F. Flohrer, K. Gabel, S. Gotze, G. Hergenhan, J. Kleinschmidt, D. Klopfel, V. Korobotchko, J. Ringling, G. Schriever, C.D. Tran, C. Ziener // Proc, SPIE-2003.-Vol. 5037.-P.l 19-129.

Список публикаций автора

[Al] Bibishkin, M.S. Laboratory methods for investigations of multilayer mirrors in Extreme Ultraviolet and Soft X-Ray region / M.S. Bibishkin, D.P. Chehonadskih, N.I. Chkhalo, E.B. Kluyenkov, A.E. Pestov, N.N. Salashchenko, L.A. Shmaenok, l.G. Zabrodin, S.Yu. Zuev // Proceedings SPIE. - 2004. -V.5401. —P.8-15.

[A2] Бибишкин, M.C. Характеристики детекторов на основе МКП и каналовых умножителей при работе в счетном режиме / М.С. Бибишкин, И.Г. Забродин, А.Е. Пестов, Н.Н, Салащенко, Д.П. Чехонадских, Н.И. Чхало // Поверхность. Рентгеновские, синхротронные и нейтронные исследования, — 2003. — №7. — С. 5-8.

[A3] Бибишкин, М.С. ДвухзеркальныЙ рефлектометр для относительных измерений коэффициентов отражения многослойных зеркал на длине волны 13.5 нм / М.С. Бибишкин, И.Г. Забродин, И.А. Каськов, Е.Б. Юпоенков, А.Е. Пестов, Н.Н. Салащенко, Д.П, Чехонадских, Н.И. Чхало, JI.A. Шмаенок // Известия РАН. Серия физическая. - 2004. - Т.68. - № 4. -С.560-564.

[А4] Бибишкин, М.С. Исследование характеристик многослойных рентгеновских зеркал с ультракороткими периодами d=0.7-2.4 нм / М.С. Бибишкин, Ю.А. Вайнер, А.Е. Пестов, К.А. Прохоров, Н.Н. Салащенко, А.А. Фраерман, Н.И. Чхало // Известия РАН. Серия физическая. - 2005. -Т.69. - № 2. - С. 199-206.

[А5] Andreev, S.S. Application of free-standing multilayer films as polarizers for X-ray radiation / S.S. Andreev, M.S. Bibishkin, N.I. Chkhalo, A.Ya. Lopatin,

V.I. Luchin, A.E. Pestov, K.A. Prokhorov, N.N. Salashchenko // Nuclear Instruments and Methods in Physics Research A. — 2005. - V.543. - P.340-345. [A6] Bibishkin, M.S. Ultra-short period X-ray mirrors: Production and investigation ( M.S. Bibishkin, N.I. Chkhalo, A.A. Fraerman, A.E. Pestov, K.A. Prokhorov, N.N. Salashchenko, Yu.A. Vainer // Nuclear Instruments and Methods in Physics Research A. - 2005. - V.543. - P.333-339. [A7] Бибишкин, M.C. Рефлектометр с модернизированной оптической схемой для исследования элементов рештеноогггики в диапазоне 0,6-20 им // М,С. Бибишкин, И.Г. Забродин, С.Ю. Зуев, Е.Б. Юпоенков, А.Е. Пестов, Н.Н, Салащенко, Д.П. Чехонадских, Н.И. Чхало // Поверхность. Рентгеновские, синхротронные и нейтронные исследования. - 2005. - №2. -С.23—27.

[А8] Vainer, Yu.A. Analysis of transverse correlation of surface roughness in multilayer structures with ultrashort periods ! Yu.A. Vainer, A.E. Pestov, K.A, Prokhorov, N.N. Salashchenko, A.A. Fraerman, V.V. Chernov, N.I. Chkhalo // Journal of Experimental and Theoretical Physics. - 2006. - Vol.130. - No.3. -P.345-351.

[A9] Бибишкин, M.C. Характеристики детекторов на основе МКП и каналовых умножителей при работе в счетном режиме / М.С. Бибишкин, И.Г. Забродин, А.Е. Пестов, Н.Н. Салащенко, Д.П. Чехонадских, Н.И. Чхало И Материалы всероссийского совещания Рентгеновская оптика-2002, ИФМ РАН, Нижний Новгород. - 2002. - С.247-251.

[А 10] Бибишкин, М.С. Оптимизация детекторов на основе МКП и каналовых умножителей для регистрации мягкого рентгеновского излучения / М.С. Бибишкин, И.Г. Забродин, А.Е. Пестов, Н.Н. Салащенко, Д.П, Чехонадских, Н.И, Чхало // Материалы XIV Российской конференции по использованию синхротронного излучения СИ-2002, ИЯФ им. Г.И. Будкера СО РАН. Новосибирск. -2002. - С. 125.

[All] Андреев, С.С. Исследование отражательных характеристик многослойных зеркал в диапазоне длин волн 0.6-10 нм / С.С. Андреев, М.С. Бибишкин, Б,А Володин, С.Ю. Зуев, Е.Б. Юпоенков, А.Е. Пестов, К.А, Прохоров, Н.Н. Салащенко, Д.П. Чехонадских, Н.И. Чхало И Тезисы докладов IV Национальной конференции по применению Рентгеновского, Синхротронного излучений, Нейтронов и Электронов для исследования материалов. ИК РАН. Москва. - 2003, - С.494.

[А12] Бибишкин, М.С. Светосильный рефлектометр для изучения влияния источников ЭУФ излучения на отражательные характеристики зеркал / М.С. Бибишкин, И,Г. Забродин, И.А. Каськов, Е.Б. Юпоенков, А.Е. Пестов, Н.Н. Салащенко, Д.П. Чехонадских, Н.И, Чхало // Тезисы докладов IV Национальной конференции по применению Рентгеновского, Синхротронного излучений, Нейтронов и Электронов для исследования материалов. ИК РАН. Москва. - 2003, - С.522.

[А13] Bibishkin, M.S. Apparatus and methods for investigations of multilayer mirrors in the 0.6-20 nro spectral range / M.S. Bibishkin, D.P. Chekhonadskih, N.I. Chkhalo, I.A. Kaskov, E.B. Klyuenkov, A.E. Pestov, N.N. Salashchenko, I.G. Zabrodin, S.Yu. Zuev // Proceedings of the 7th International Conference on the Physics of X-Ray Multilayer Structures. March 7-11 2004. Rusutsu Resort. Sapporo. Japan. - 2004. - P.07-02.

[A14] Бибишкин, M.C. Исследование характеристик многослойных зеркал с ультрамалыми периодами d = 0.8 — 2.4 нм / М.С. Бибишкин, Ю.А. Вайнер, Б.А. Володин, А.Е. Пестов, К.А. Прохоров, H.H. Салащенко, A.A. Фраерман, Н.И. Чхало // Материалы всероссийского совещания Рентгеновская оптика-2004. ИФМ РАН. Нижний Новгород. - 2004. - С. 136137.

[А15] Вайнер, Ю.А. Применение метода диффузного рассеяния для изучения внутреннего строение короткопериодных W/B4C многослойных структур / Ю.А. Вайнер, А.Е. Пестов, К.А. Прохоров, H.H. Салащенко, A.A. Фраерман, Н.И. Чхало // Материалы всероссийского совещания Рентгеновская оптика-2004. ИФМ РАН, Нижний Новгород. - 2004. - С.205-207.

[AI6] Ахсахалян, А.Д. Короткопериодная рентгеновская оптика для коллимации, фокусировки и поляризации рентгеновского излучения / А.Д. Ахсахалян, Ю.А. Вайнер, Е.Б. Клюенков, В.И, Лучин, А.Е, Пестов, К.А. Прохоров, H.H. Салащенко, Н.И. Чхало // Материалы всероссийского совещания Рентгеновская оптика-2005. ИФМ РАН, Нижний Новгород. -2005. — Т. 1. — С.60-63.

[А17] Забродин, И.Г. Абсолютно калиброванный измеритель EUV мощности для аттестации и оптимизации источников излучения на 13,5 нм / И.Г. Забродин, Б.А. Закалов, С.Ю. Зуев, И.А. Каськов, Е.Б. Клюенков, А.Я. Лопатин, H.H. Салащенко, Л.А. Суслов, А.Е. Пестов, Н.И. Чхало, Л.А. Шмаенок // Материалы всероссийского совещания Рентгеновская оптика-2005. ИФМ РАН, Нижний Новгород. - 2005. - Т.2. - С.302-303. [А18] Бибишкин, М.С. Проект литографического стенда на основе объектива Шварцшильда с рабочей длиной волны 13,5 нм / М.С. Бибишкин, Н.Б. Вознесенский, Е.Б. Клюенков, Е.Л. Панкратов, А.Е. Пестов, H.H. Салащенко, Н.И. Чхало // Материалы всероссийского совещания Рентгеновская оптика-2005. ИФМ РАН. Нижний Новгород. - 2005. - Т.2. -С.479-480.

[А19] Бибишкин, М.С. Многослойные поляризаторы для мягкого рентгеновского диапазона длин волн / М.С. Бибишкин, Ю.А. Вайнер, А.Я. Лопатин, В.И. Лучин, А.Е. Пестов, В.Н. Полковников, H.H. Салащенко,

B.В. Чернов, Н.И. Чхало // Материалы всероссийского совещания Рентгеновская оптика-2005, ИФМ РАН. Нижний Новгород. — 2005. — Т.2. -

C.493-494.

[A20J Бибишкин, М.С. Многослойные абсорбционные фильтры для спектральной области 13,5 нм / М.С. Бибишкин, CA. Гусев, Е.Б. Клюенков, А.Я. Лопатин, В.И. Лучин, Ю.А. ВаЙнер, Е.Б. Клюенков, В.И. Лучин, А.Е. Пестов, H.H. Салащенко, H.H. Цыбин, Н.И. Чхало, Л.А. Шмаенок // Материалы всероссийского симпозиума «Нанофизика и наноэлектроника», г. Нижний Новгород. - 2006. - С.114-115.

[А21] Бибишкин, М.С. Характеристики абсорбционных EUV-фильтров при высоких тепловых нагрузках / М.С. Бибишкин, С.А. Гусев, И.Г. Забродин, А.Ю. Климов, Е.Б. Клюенков, А.Я. Лопатин, В.И. Лучин, А.Е. Пестов, H.H. Салащенко, H.H. Цыбин, Н.И. Чхало, Л.А. Шмаенок // Материалы всероссийского симпозиума «Нанофизика и наноэлектроника», г. Нижний Новгород. - 2006. - С.358-359.

[А22] Забродин, И.Г. Новая мощная трубка на длину волны 13,5 нм / И.Г. Забродин, И.А. Каськов, Е.Б. Клюенков, А.Е. Пестов, Д.Г. Раскин, H.H. Салащенко, Н.И. Чхало, В.А. Кузнецов, В.М. Артюхов // Материалы всероссийского симпозиума «Нанофизика и наноэлектроника», г. Нижний Новгород. - 2006. - С.387.

(А23] Зуев, С.Ю, Влияние антидиффузионных слоев В4С и Cr на отражательные характеристики многослойных рентгеновских зеркал на основе Mo/Si i С.Ю. Зуев, А.Е. Пестов, В.Н. Полковников, H.H. Салащенко И Материалы всероссийского симпозиума «Нанофизика и наноэлектроника», г. Нижний Новгород, - 2006. — С.391.

Пестов Алексей Евгеньевич

РАЗВИТИЕ ДИАГНОСТИЧЕСКИХ МЕТОДОВ ДЛЯ ЗАДАЧ ПРОЕКЦИОННОЙ ЛИТОГРАФИИ 13,5 НМ

Автореферат

Подписано к печати 10 октября 2006 г. Тираж 100 экз.

Отпечатано на ризографе Института физики микроструктур РАН, 603950,

Нижний Новгород, ГСП - 105.

 
Содержание диссертации автор исследовательской работы: кандидата физико-математических наук, Пестов, Алексей Евгеньевич

Введение.

Глава 1. Светосильный рефлектометр и его применения для исследования коэффициентов отражения / пропускания оптики на длине волны 13,5 нм.

1.1. Оптическая схема и принцип работы прибора.

1.2. Разборная рентгеновская трубка.

1.3. Двухзеркальный монохроматор на основе Nb/Si МРЗ.

1.3.1. Монохроматоры и зеркала для MP и ЭУФ диапазонов.

1.3.2. Светосильный монохроматор для рефлектометра.

1.4. Детектор рентгеновского излучения.

1.5. Тестирование прибора.

1.5.1. Размеры ЭУФ пучка.

1.5.2. Оценка чувствительности прибора.

1.6. Изучение коэффициентов пропускания абсорбционных фильтров.

1.6.1. Установка для паспортизации ТАФ.

1.6.2. Экспериментальные результаты.

Глава 2. Детектор ЭУФ излучения и абсолютно калиброванный измеритель ЭУФ мощности для аттестации источников излучения на 13,5 нм.

2.1. Детектор ЭУФ излучения для работы в режиме счета фотонов.

2.1.1. Теоретическая часть.

2.1.2. Экспериментальное исследование характеристик КЭУ и МКП.

2.1.2.1. Детекторы на основе Z-сборки МКП.

2.1.2.2. Каналовые электронные умножители.

2.1.2.3. Детекторы на основе шевронной сборки МКП.

2.1.2.4. Статистические свойства детекторов.

 
Введение диссертация по физике, на тему "Развитие диагностических методов для задач проекционной литографии 13,5 нм"

В настоящее время мы являемся свидетелями стремительного прогресса в технологии микроэлектронных устройств. Ключевым звеном в технологическом прогрессе является литографическое оборудование. Оно включает в себя источник излучения, оптическую систему переноса изображения, систему позиционирования, сканирования и совмещения маски и фотошаблона.

Основные успехи в области субмикронной литографии связаны с применением эксимерных лазеров с длинами волн 248 и 193 нм. Мировым лидером по производству литографического оборудования является нидерландская компания ASM Lithography. Выпускаемая этой компанией литографическая установка TWINSCAN AT: 1200В, оснащенная 20-Вт ArF - эксимерным лазером с рабочей длиной волны 193 нм, обеспечивает пространственное разрешение 80 нм на пластинах диаметром 300 мм. Однако возможности оптических систем ограничены дифракционным пределом и разрешаемая полоса не может быть существенно уже длины волны света. Согласно критерию Рэлея, эта величина в проекционных оптических системах прямо пропорциональна длине волны света X:

NA здесь к - коэффициент пропорциональности (порядка 1), NA - числовая апертура объектива. Фундаментальным препятствием на пути дальнейшего уменьшения размеров элементов является дифракционное искажение изображения на оптической системе и масках литографической установки. Возможности увеличения диаметров линз практически исчерпаны как экономически (высокая стоимость линз с большой апертурой), так и технологически. Поэтому производители сверхбольших интегральных схем (СБИС) ведут работы в области корректировки изображения маски, благодаря которым распределение освещенности на подложке соответствует желаемому, несмотря на дифракцию излучения. Другое решение заключается в применении так называемых фазосдвигающих фотошаблонов. На их поверхность селективно наносятся материалы, которые перекрывают пучки, приводящие к вызванной дифракцией размытости изображения. Оба этих пути ведут к усложнению, а значит и удорожанию, конструкции масок, а также к существенному браку при их производстве.

В рамках УФ - литографии перспективным считается уменьшение длины волны излучения, в частности - переход к длине волны 157 нм (излучение эксимерного F2 - лазера). Однако возможности и этой технологии для перехода к размерам нанометрового диапазона также ограничены, в том числе и существующими в настоящее время материалами линз.

Все это обращает внимание на экстремальный ультрафиолетовый (ЭУФ) диапазон электромагнитного излучения (ЗО^Ю нм). Эта область непосредственно граничит с мягким рентгеновским диапазоном. Именно здесь в последнее время достигнут заметный прогресс при создании отражательных оптических элементов и высокоэффективных источников излучения. Одним из центральных моментов здесь можно считать создание зеркал, обладающих высоким коэффициентом отражения в ЭУФ и мягкой рентгеновской области спектра. Для этого используются многослойные брэгговские покрытия на атомарно-гладкой поверхности массивной подложки с заданной кривизной.

На многослойных рентгеновских зеркалах на основе пары материалов Mo/Si в настоящее время достигнут коэффициент отражения, приближающийся к 70% при длине волны 13,4 нм (теоретический предел Rmax~74 %). Существуют другие эффективные решения, обеспечивающие R>60%, но все они относятся к диапазону длин волн 10-15 нм с абсолютным теоретическим максимумом в районе 13,4 нм [1,2]. Это и есть основная причина, по которой все разработки в настоящее время сосредоточены на использовании именно этой длины волны.

Источником ЭУФ излучения обычно являются лазерная плазма, генерируемая импульсным излучением мощного частотного лазера, сфокусированным на некоторую мишень или сильноточный разряд в газе [3]. Оптическая система и маска создаются по принципам отражательной оптики с многослойным покрытием, наносимыми на атомарно - гладкие поверхности: плоские для ЭУФ маски и расчетной кривизны для объектива и конденсора. При этом увеличенный в М раз (М - кратность объектива) рисунок интегральной схемы (ИС) гравируется в поглощающем слое на поверхности шаблона традиционными методами субмикронной фотолитографии или электронной литографии. Для экспонирования поверхность полупроводниковой подложки покрывается специальным слоем, играющим роль ЭУФ резиста. Вся литографическая установка может быть представлена в виде четырех основных блоков: а) источник ЭУФ излучения; б) маска, с нанесенным на нее рисунком ИС; в) проекционная оптическая система; г) образец (пластина), с нанесенным на его поверхность ЭУФ резистом.

Источник ЭУФ излучения

Источник ЭУФ излучения должен обладать достаточно большой мощностью излучения в 2% спектральной полосе для обеспечения существующих требований по производительности. По оценкам для фоторезиста с чувствительностью 5 мДж/см и в зависимости от количества зеркал в изображающем объективе, мощность в 2% спектральной полосе в промежуточном фокусе должна быть порядка 10-100 Вт [4].

Наиболее эффективным источником ЭУФ излучения является синхротронное излучение, однако, на данном этапе исследований в мире применения синхротронного излучения для создания нанолитографа признано нецелесообразным, в силу громоздкости и дороговизны накопительных колец.

Одним из перспективных методов генерации ЭУФ излучения является лазерная плазма. Квазиточечный источник (размер пятна ~ 50-100 мкм) весьма удобен для разработки и оптимизации всей оптической системы. Первоначально в качестве мишени исследовались тяжелые металлы, обеспечивающие достаточно высокую эффективность преобразования (порядка 1% в 2% стерадиан в необходимой 2% спектральной полосе в окрестности 13,5 нм). Исследовалась главным образом непрерывная часть спектра, однако, здесь трудно преодолимым препятствием оказалось значительное загрязнение оптической системы и ее быстрый выход из строя. Это побудило в дальнейшем сосредоточиться на сверхзвуковых газовых струях инертного газа ксенона, что позволило существенно снизить загрязнение оптики продуктами эрозии из источника. Однако уменьшение плотности потоков ведет к уменьшению коэффициента конверсии, который сокращается до нескольких десятых долей процента. Предельный коэффициент конверсии для газообразного Хе составляет 0,7%, в то время как максимально достигнутый «0,55% [5]. Для увеличения коэффициента конверсии лазерный луч фокусируют в область, непосредственно примыкающую к соплу, где плотность максимальна, однако, это приводит к разрушению сопла и загрязнению оптики частицами материала сопла. В настоящее время ведутся работы по применению либо жидкого [6], либо твердого ксенона, что может привести к повышению коэффициента конверсии до 1,5%.

Параллельно ведется поиск более удачных с точки зрения коэффициента конверсии материалов мишени. Как оказалось, интересными с точки зрения генерации ЭУФ излучения в окрестности 13,5 нм могут быть такие материалы как Sn, Li, F и Sc, а также О [4], имеющие подходящие линии дискретного спектра в сильно ионизованном состоянии. Олову в настоящее время отводится особое внимание, так как уже в первых экспериментах удалось достичь 2% коэффициента конверсии, оценки показывают, что реально получить свыше 4%.

Наряду с лазерно-плазменным источником изучаются и разрабатываются источники на основе сильноточного разряда в газах и парах металлов. При протекании сильного тока плазма сжимается под действием собственного магнитного поля (pinch - эффект). В месте сжатия она нагревается, что приводит к сильной ионизации и возбуждению внутренних оболочек ионов и, соответственно, к генерации излучения. При работе с теми же средами, основное преимущество газоразрядных источников излучения является больший КПД. Используя Z-пинч, удалось получить оптическую мощность в промежуточном фокусе 10 Вт [5,7], что примерно на порядок уступает требуемой величине.

Основной проблемой, не позволяющей до настоящего времени достичь требуемого уровня мощности, является эрозия электродов и изоляторов разрядной камеры под воздействием мощных тепловых потоков и бомбардировки быстрыми ионами (ионное распыление). Одним из следствий этого является загрязнение поверхности зеркал продуктами этой эрозии. Остроту проблемы можно проиллюстрировать на примере того, что в течение нескольких минут работы первых газоразрядных источников на основе Хе, при скромных энергетических параметрах, коэффициенты отражения зеркал падали на порядки величин [5]. Также к загрязнению поверхности зеркал приводит разложение углеводородов, присутствующих в вакуумной камере, под воздействием мощных пучков излучения, в том числе и с рабочей длиной волны 13,5 нм. На данный момент эти проблемы решаются как в направлении оптимизации характеристик разряда, материалов и условий эксплуатации разрядных камер, минимизации эрозионных потоков, так и средств защиты оптики от эрозионных потоков. Также изучаются химические способы очистки зеркал непосредственно в установке.

Оптическая система

Оптическая система литографа ЭУФ диапазона обладает рядом особенностей:

1. Зеркальная система приводит к тому, что по оптической системе может распространяться не только рабочая длина волны, но и паразитные излучения, обладающие заметным коэффициентом отражения от поверхности зеркал.

2. Многослойные покрытия зеркал оптической системы являются интерференционным фильтром на рабочую длину волны, таким образом, эти покрытия для всех зеркал оптической системы должны обладать одинаковой полосой пропускания, чтобы максимально уменьшить потери мощности из-за их несогласованности.

3. Коэффициенты отражения Mo/Si многослойных покрытий не превышают 70%, соответственно эффективность многозеркальной схемы резко падает с ростом числа зеркал.

Одним из решений первой проблемы является применение тонкопленочных абсорбционных фильтров. Это направление активно развивается в ИФМ РАН. Вторая проблема решается на стадии изготовления многослойных покрытий и далее не обсуждается. Наиболее серьезной проблемой является повышение эффективности оптической схемы. Одним из способов является уменьшение количества зеркал в схеме. Двухзеркальный объектив, состоящий из большого вогнутого зеркала с отверстием в середине и маленького выпуклого, широко применяется в настоящее время в астрономии и носит название "Объектив Шварцшильда". Такой объектив рассматривался в рамках американского проекта и имел числовую апертуру NA=0,06-0,09 [8]. Однако эта схема на двух зеркалах не отвечала требованиям по разрешению метода (критерий Рэлея). Четырехзеркальные системы улучшают ситуацию, удается несколько увеличить NA, сохраняя значительное поле изображения. Но для промышленных применений максимальная числовая апертура не превышает NA=0,1-0,14 [9,10]. Существенно более высокое качество достигается шестизеркальной схемой, а восьмизеркальная, согласно оценкам специалистов К. Zeiss, уже смогла бы обеспечить NA&0,4 на уровне промышленного сканера-степпера. Следовательно, предполагаемое разрешение промышленного нанолитографа сможет достичь 20-30 нм в результате разработки восьмизеркальной схемы [11]. Таким образом, на первый план все же выходит проблема повышения коэффициента отражения зеркал на рабочей длине волны.

Маска

Маска представляет собой такое же многослойное зеркало, однако, в отличие от зеркал оптической системы оно является плоским и на его поверхность наносится слой сильно поглощающего ЭУФ излучение вещества (например W, Сг). Этот слой представляет собой ни что иное, как в М раз увеличенный рисунок СБИС. Рисунок наносится на многослойное покрытие одним из известных методов - фотолитографией или электронной литографией. Основной не решенной на настоящий момент проблемой является превышающее допустимый уровень число дефектов с размерами 20-50 нм.

ЭУФ резист

Основной проблемой, стоящей перед учеными при поиске оптимального резиста для ЭУФ литографии, является высокое поглощение ЭУФ излучения практически всеми веществами. Глубина проникновения излучения в стандартные органические резисты составляет порядка 100 нм. Резист для промышленного производства должен обладать как высоким контрастом передачи изображения, так и высокой чувствительностью для обеспечения минимального времени экспозиции. Чувствительность на уровне 5-10 мДж/см считается наиболее адекватной, поскольку это значение является компромиссным между требованиями высокой производительности и минимизации флуктуаций вследствие фотонного дробового шума [12]. Помимо оптических свойств резист должен обладать приемлемыми химическими свойствами. В связи с уменьшением размера элементов на резисте становится актуальной проблема размытия края изображения.

Таким образом, создание промышленного нанолитографа сталкивается с решением большого числа физико-технических проблем, а помимо их, решения еще требуют задачи точной механики. Кроме того, требуется нанометровая точность фокусировки. Нетривиальна проблема общей оптической юстировки прибора в ЭУФ диапазоне.

Цель диссертационной работы

Данная работа направлена на решение ряда задач проекционной ЭУФ литографии 13,5 нм, в частности, связанных с источником ЭУФ излучения, оптической системой и фоторезистами.

Задачи диссертационной работы

Основные задачи диссертационной работы, которые необходимо решить для достижения поставленной цели в области исследований по направлению ЭУФ литографии на длине волны 13,5 нм можно определить следующим образом:

1. Изучение отражательных свойств и дисперсионных характеристик многослойных рентгеновских зеркал, оптимизированных на длину волны 13,5 нм, с точностью их определения лучше 1%.

2. Изучение характеристик детекторов мягкого рентгеновского (MP) и ЭУФ излучения и разработка детекторной системы с широким динамическим диапазоном, работающей в режиме счета единичных фотонов.

3. Изучение рассеяния рентгеновского излучения на микрошероховатостях многослойных зеркал.

4. Изучение генерации излучения с длиной воны 13,5 нм с помощью разборных рентгеновских трубок, определение коэффициента конверсии энергии электронов в энергию характеристической линии Si La.

Научная новизна работы

1. Разработан, изготовлен и введен в эксплуатацию лабораторный рефлектометр, позволяющий определять особенности и абсолютное значение коэффициентов отражения и пропускания на длине волны 13,5 нм с точностью 0,1%. Ранее такие точности были доступны только в синхротронных центрах. Использование пары многослойных рентгеновских зеркал в качестве монохроматора позволило более чем на три порядка повысить интенсивность зондирующего пучка на образце по сравнению со стандартным рефлектометром скользящего падения на основе дифракционной решетки -РСМ-500. Путем смены пары зеркал монохроматора прибор может быть перестроен на любой другой диапазон длин волн, для которых имеется возможность напыления многослойных рентгеновских зеркал (МРЗ) с высокими коэффициентами отражения при нормальных углах падения излучения.

2. Впервые экспериментально измерен коэффициент конверсии энергии электронного пучка в энергию характеристической линии Si La при электронном возбуждении, изучены угловые зависимости выхода излучения из кремниевой мишени.

3. Показана возможность использования рентгеновской трубки с кремниевой мишенью для решения ряда задач проекционной ЭУФ литографии на длине волны 13,5 нм.

4. Впервые удалось разделить вклады перемешивания и микрошероховатости в несовершенство межслоевых границ в многослойных структурах.

Практическая значимость работы

1. Появление светосильного рефлектометра позволило существенно сократить время на аттестацию МРЗ и тонкопленочных абсорбционных фильтров (ТАФ), а также существенно расширить круг возможных задач ЭУФ литографии 13,5 нм, доступных для решения уже на приборах этого типа. Помимо МЗ и ТАФ прибор позволяет изучать чувствительность и пространственное разрешение ЭУФ резистов, флуоресценцию материалов в MP и ЭУФ диапазонах и др.

2. Изучены особенности работы вторичных электронных умножителей на основе микроканальных пластин (МКП) и каналовых электронных умножителей (КЭУ) в условиях высоких интенсивностей падающего излучения. Созданы две детекторные системы. Универсальная детекторная система предназначена для регистрации излучения в ЭУФ и MP диапазонах в режиме счета фотонов. Она позволяет работать с различными типами детекторов: фотоэлектронные умножители, шевронные и Z-сборки МКП, канал овые умножители. Спектрально-селективная детекторная система позволяет проводить аттестацию источников ЭУФ излучения для литографических установок и нашла применение в ряде лабораторий.

3. Разработанные в рамках диссертационной работы рентгеновские трубки находят применение в работах как по ЭУФ литографии, так и для рефлектометрии MP и ЭУФ диапазона.

4. Развита методика диффузного рассеяния, при помощи которой получен ряд физических результатов, важных как для понимания физики роста многослойных структур (МС), так и для дальнейшего совершенствования технологии роста.

Основные положения, выносимые на защиту

Основные положения, выносимые на защиту формулируются следующим образом:

1. Создан светосильный лабораторный рефлектометр, позволяющий изучать коэффициенты отражения и прохождения элементов рентгеновской и экстремальной ультрафиолетовой оптики с точностью на уровне 0,1%, что ранее было возможно только в синхротронных центрах.

2. Оптимизированы параметры работы детекторов на основе микроканальных пластин и каналовых электронных умножителей в условиях интенсивных потоков падающего излучения. Разработаны две детекторные системы, предназначенные для регистрации MP и ЭУФ излучения. Универсальная система обеспечивает регистрацию излучения в режиме счета единичных фотонов. Вторая, спектрально-селективная, предназначена для паспортизации источников ЭУФ излучения для литографии 13,5 нм.

3. Измерен коэффициент конверсии энергии электронного пучка в энергию флуоресцентного излучения с длиной волны 13,5 нм, который для электронов с энергией 6 кэВ составил 3,03*10"6. Определены, как экспериментально, так и теоретически угловые зависимости интенсивности флуоресценции Si La линии.

4. Экспериментально показана возможность применения рентгеновских трубок с кремниевым анодом для ряда задач проекционной литографии 13,5 нм. Предложена дифракционная маска, которая уже на данном этапе позволит исследовать пространственное разрешение фоторезистов на 13,5 нм с разрешением до 15 нм.

5. Разработана методика диффузного рассеяния (ДР) для исследования внутреннего строения МС. Основные преимущества этой методики по сравнению с развитыми ранее: возможность учета динамических эффектов ДР без привлечения трудоемкого алгоритма рекуррентных соотношений и разделение вкладов микрошероховатости и перемешивания слоев в длину переходных областей МС. Этим методом изучены МС на основе W/B4C, Mo/Si. Получен ряд физических результатов, важных как для понимания физики роста МС, так и для дальнейшего совершенствования технологии роста.

Публикации по теме

По представленным на защиту материалам автором опубликовано 26 работ: 8 статей в научных журналах [А1-А8] и 18 в сборниках конференций и тезисов докладов [Т1-Т18]. Неоднократно результаты докладывались автором на заседаниях научных конференций.

Личное участие автора

- Равнозначный в разработку оптической схемы, конструкционные особенности двухзеркального рефлектометра (совместно с Н.И. Чхало) [А1, A3, А7,Т5, Т7, Т8].

- Основной в отработку методик и проведение измерений коэффициентов отражения многослойных зеркал и пропускания тонкопленочных фильтров на длине волны 13,5 нм с точностью лучше 0,2% [Т13,Т14,Т16,Т18].

- Определяющий в работе по изучению детекторов для MP и ЭУФ диапазона, оптимизации их параметров и режимов работы [А2, Tl, Т2, ТЗ, Т4, Т12].

- Определяющий в постановке и проведении экспериментов по определению коэффициента конверсии энергии электронного пучка в энергию флуоресценции характеристической линии Si La [Т13, Т17].

- Равнозначный в изучении ЭУФ резиста на длину волны 13,5 нм, определении порога чувствительности и получении первого литографического изображения при использовании РТ с кремниевой мишенью в качестве источника ЭУФ излучения, а также подготовке эксперимента по изучению пространственно разрешения резиста на наномасштабах (совместно с Н.И. Чхало, А.Я. Лопатиным, Д.Г. Раскиным).

- Равнозначный в разработку рентгеновских трубок (РТ) и оптимизацию условий генерации излучения с длиной волны 13,5 нм РТ с кремниевой мишенью (совместно с Н.И. Чхало) [А2, А7, Т5, Т7, Т17].

- Равнозначный в развитие методики изучения структурных параметров многослойных структур методом диффузного рассеяния (совместно с А.А. Фраерманом и Н.И. Чхало) [А4, А6, А8, Т9, Т10, Т11].

Апробация результатов

Все работы были представлены в реферируемых научных и специализированных изданиях и докладывались на научных конференциях. Апробация содержащихся в данной диссертационной работе результатов проводилась на следующих научных конференциях, симпозиумах и совещаниях:

Светосильный рефлектометр для регистрации малых изменений коэффициентов отражения многослойных рентгеновских зеркал на длине волны 13,5 нм представлялся на конференции по применению Рентгеновского, Синхротронного излучений, Нейтронов и Электронов для исследования материалов. ИК РАН. Москва. 17-22 ноября 2003. А также на международной конференции в Саппоро (Proceedings of the 7th International Conference on the Physics of X-Ray Multilayer Structures. March 7-11 2004. Rusutsu Resort. Sapporo. Japan).

Изучение детекторов для ЭУФ и MP диапазона представлялись на всероссийской конференции по использованию синхротронного излучения СИ-2002. ИЯФ им. Г.И. Будкера СО РАН. Новосибирск. 2002, на конференции "Рентгеновская оптика-2002" ИФМ РАН. Нижний Новгород.

Методика изучения МС с помощью диффузного рассеяния рентгеновского излучения была представлена на совещании Рентгеновская оптика-2004. ИФМ РАН. Нижний Новгород. 2004.

Спектрально-селективная детекторная система выставлялась на совещании Рентгеновская оптика-2005. ИФМ РАН. Нижний Новгород. 2005.

Структура и объем диссертации

Диссертация состоит из введения, пяти глав, основных выводов и списка литературы. Объем диссертации составляет 151 стр., приведено 93 рисунка и 15 таблиц. Обзор современных достижений, представленных в научных публикациях других исследовательских групп в областях диссертационного исследования по всем представленным направлениям, рассматривается в начале каждой соответствующей главы.

 
Заключение диссертации по теме "Приборы и методы экспериментальной физики"

Основные результаты

К основным результатам диссертационной работы можно отнести следующее:

1. Создан светосильный лабораторный рефлектометр, позволяющий изучать коэффициенты отражения и прохождения элементов рентгеновской и ЭУФ оптики с точностью на уровне 0,1%, что ранее было возможно только в синхротронных центрах.

2. Разработаны две детекторные системы, предназначенные для регистрации MP и ЭУФ излучения. Спектрально-селективная система обеспечивает аттестацию мощности источников излучения для ЭУФ литографии. В настоящее время такие системы используются в ряде лабораторий мира.

3. Измерен коэффициент конверсии энергии электронного пучка в энергию флуоресцентного излучения Si La - линии с длиной волны 13,5 нм. Экспериментально показана возможность применения рентгеновских трубок с кремниевым анодом для задач проекционной литографии 13,5 нм.

4. Предложена дифракционная маска, которая уже на данном этапе позволит исследовать пространственное разрешение ЭУФ фоторезистов с разрешением 15 нм.

5. Разработана методика диффузного рассеяния (ДР) для исследования внутреннего строения МС. Основные преимущества этой методики по сравнению с развитыми ранее: возможность учета динамических эффектов ДР без привлечения трудоемкого алгоритма рекуррентных соотношений и разделение вкладов микрошероховатости и перемешивания слоев в длину переходной области МС. Этим методом изучены МС на основе Mo/Si, W/B4C. Получен ряд результатов, важных как для понимания физики, так и для дальнейшего совершенствования технологии роста МС.

2.2.3. Заключение

Создан малогабаритный, высокоэффективный, абсолютно калиброванный измеритель мощности излучения с рабочей длиной волны 13,5 нм и спектральной полосой пропускания, соответствующий полосе реальной литографической установки. Помимо определения абсолютной мощности излучения с Я= 13,5 нм, данный прибор может применяться для измерения спектральной мощности излучения источника в широком, вплоть до инфракрасного излучения, спектральном диапазоне. Для этого монохроматор вынимается из прибора, а на вращающийся диск устанавливаются предварительно калиброванные фильтры с известной спектральной полосой пропускания. Вводя их поочередно в пучок с помощью шагового двигателя, можно снять спектральную мощность излучения. Количество измеряемых спектральных точек фактически ограничивается внешними размерами фильтров и размером диска, который составляет примерно 40 мм. Первые эксперименты с 6-ю фильтрами подтвердили возможность использования прибора в таком режиме. Следует также отметить, что прибор может быть либо пристыкован к вакуумной камере через фланец KF-40, либо его измерительная часть может быть снята с фланца и установлена внутри установки.

В настоящее время прибор рассматривается как эталонный инструмент для аттестации различных источников ЭУФ излучения.

Глава 3. Генерация излучения 13,5 нм с помощью рентгеновской трубки

К настоящему времени абсолютные интенсивности отпаянных рентгеновских трубок, генерирующих жесткое рентгеновское излучение хорошо изучены [48], чего нельзя сказать о трубках генерирующих излучение в MP и ЭУФ диапазоне (1-30 нм). Это связано, прежде всего, с недостоверными данными сечений ионизации и возбуждения атомов и ионов вещества низкоэнергетическими электронами. Во многом это объясняется экспериментальными сложностями, связанными с сильным поглощением мягкого рентгеновского излучения и низкоэнергетичных электронов практически всеми материалами.

Для примера приведены некоторые значения глубины проникновения рентгеновского излучения (РИ) (см. Таблицу 3.1), т.е. глубины на которой интенсивность падающего на материал рентгеновского излучения спадает в е раз для трех наиболее часто используемых линий (CuKa X = 0,154 нм, СКа X = 4,47 нм и Si La X = 13,5 нм), и наиболее часто встречающихся загрязнителей: W, который часто является материалом термокатода, С, который представляет собой продукт разложения углеводородов и Fe, которое является основным материалом вакуумных камер.

 
Список источников диссертации и автореферата по физике, кандидата физико-математических наук, Пестов, Алексей Евгеньевич, Нижний Новгород

1. Kim, D.-E. Optimized Structures of Multilayer Soft X-Ray Reflectors in the Spectral Range of 30 to 300 A / D.-E. Kim, D.-H. Cha, S.-W. Lee // Japanese Journal of Applied Physics. -1998.-Vol. 37,- P. 2728-2733.

2. Салащенко, H.H. Исследования в области многослойной рентгеновской оптики в ИФМ РАН / Н.Н. Салащенко // Материалы всероссийского совещания "Рентгеновская оптика". Нижний Новгород. 1998. - с. 53-68.

3. Mohanty, S.R. Recent progress in EUV source development at GREMI / S.R. Mohanty, C. Cachoncinlle, C. Fleurier, E. Robert, J.-M. Pouvesle, R. Viladrosa, R. Dussart // Microelectronic engineering. 2002. - Vol. 61-62. - P.179-185.

4. Сейсян, P. Нанолитография СБИС в экстремально дальнем вакуумном ультрафиолете (обзор) / Р. Сейсян //ЖТФ. 2005. - т.75. - вып.5. - с. 1-13.

5. Hansson, B.A.M. A liquid-xenon-jet laser-plasma x-ray and EUV source / B.A.M. Hansson, L. Rymell, M. Berglund, H.M. Hertz // Microelectronic Engineering. 2000. - Vol.53. -Iss.1-4. - P.667-670.

6. Diefendorff, K. Microprocessor Report. 2001. www.MDRonline.com

7. Ulrich, W. Trends in optical design of projection lenses for UV and EUV lithography / W. Ulrich, S. Beiersdorfer, H.-J. Mann // Proceedings SPIE. 2000. - Vol.4146. - P. 13-24.

8. Bjorkholm, J.E. EUV Lithography—The Successor to Optical Lithography? / J.E. Bjorkholm // Intel Technology Journal. 1998. - Q3. - P. 1-8.

9. Grunow, P.A. Rates and mechanisms of optic contamination in the EUV engineering test stand/ P.A. Grunow, L. E. Klebanoff, S. Graham Jr., S.J. Haney, W.M. Clift // Proceedings SPIE. 2003. - Vol. 5037. - P.418-428.

10. В arty, A. The effects of radiation induced carbon contamination on the performance of an EUV lithographic optic / A. Barty, K.A.Goldberg // Proceedings SPIE. 2003. -Vol. 5037. -P.450-459.

11. Fuchs, D. High precision soft x-ray reflectometer / D. Fuchs, M. Krumrey, P. Muller,

12. F. F Scholze, G. Ulm //Review of Scientific Instruments. 1995. — Vol.66. - Iss.2. -P.2248-2250.

13. Egbert, A. Compact electron-based EUV source at 13.5 nm / A. Egbert, B. Mader, B. Tkachenko, A. Ostendorf, B.N. Chichkov, T. Missalla, M.C. Schurmann, K. Gabel,

14. G. Schriever, U. Stamm // Proceedings SPIE. 2003. - Vol. 5037. - P.784^159.

15. Andreev, S.S. Multilayer optics for XUV spectral region: technology fabrication and applications / S.S. Andreev, A.D. Akhsakhalyan, M.S. Bibishkin, N.I. Chkhalo, S.V. Gaponov, S.A. Gusev, E.B. Kluenkov, K.A. Prokhorov, N.N. Salashchenko, F.

16. Schafers, S.Yu. Zuev 11 Central European Journal of Physics. CEJP 2003. - N.l. -P.191-209.

17. Борн, M. Основы оптики / M. Борн, Д. Вольф // М., Наука, 1973. с.63.

18. Виноградов, А.В. Зеркальная рентгеновская оптика / А.В. Винорградов, А.Я. Грудский, М.Т. Коган, И.В. Кожевников, В.А. Слемзин // Л.: Машиностроение, 1989.-302 с.

19. Spiller, Е. Low-loss reflection coatings using absorbing material / Spiller E. // Applied Physics Letters. 1972. - v.20. -p.365.

20. Виноградов, А.В. О многослойных зеркалах для рентгеновского и далекого ультрафиолетового диапазона / А.В. Виноградов, Б.Я. Зельдович // Оптика и спектроскопия. 1977. Т. 42. - № 4. - с. 709-714.

21. Borrmann, G. Uber extinktionsdiagramme von quarz // Physikal Z. 1942. - V.42. -p.157-162.

22. Гусев, С.А. Электронная микроскопия поперечных сколов многослойных зеркал Mo/Si / С.А. Гусев, Е.Н. Садова, К.А. Прохоров // Поверхность. Рентгеновские, синхротронные и нейтронные исследования. 2000. - №1. - С. 132.

23. Yulin„ S. Development of EUV/Soft x-ray multilayer optics in IOF / S. Yulin, , T. Feigl, N. Kaizer //Proceeding of the 7-th International Conferences on the Physics of X-ray Multilayer Structures, March 7-11, Sapporo Japan, 2004.

24. Powell, F.R. Filter windows for EUV lithography / F.R. Powell, T.A. Johnson // Proceedings SPIE. 2001. - V. 4343. - P.585-589.

25. Funsten, И.О. Fundamental limits to detection of low-energy ions using silicon solid-state detectors / И.О. Funsten, S.M. Ritzau, R.W. Harper, R. Korde // Applied Physics Letters. 2004. - Vol.84. - Iss. 18. - p. 3552-3554.

26. Ляпидевский, B.K. Методы детектирования излучений / B.K. Ляпидевский // М. Энергоатомиздат. 1987.-с.45.

27. Айнбунд, М.Р. Вторично-электронные умножители открытого типа и их применение / М.Р. Айнбунд, Б.В. Поленов // М. Энергоиздат. 1987. - 140 с.

28. Добрецов, Л. Н. Эмиссионная электроника / Л. Н. Добрецов, М.В. Гомоюнова // М. Наука,- 1966.-с.564.

29. Гродски, Шумахер. Новый широкополосный детектор фотонов. Приборы для научных исследований. Пер. с англ. - 1968. - №5. - с. 69-76.

30. Чистяков, В.П. Курс теории вероятностей и математической статистики / М. Наука, 1987.-с.218.

31. Partlo, W.N. Development of an EUV (13.5 nm) light source employing a dense plasma focus in lithium vapor / W.N. Partlo, I.V. Fomenkov, I.R. Oliver, D.L. Birx // Proceedings SPIE. -2000. -V.3997. P. 136-156.

32. Зуев, С.Ю. Измерение характеристик оптических элементов рентгеновских телескопов / С.Ю.Зуев, А.В.Митрофанов // Поверхность. Рентгеновские, синхротронные и нейтронные исследования. 2002. - №1. - С.81-83.47. http://www.ird-inc.com/

33. Brown, D.B. Measurement and Calculation of Absolute X-Ray Intensities / D.B. Brown, J.V. Gilfrich // Journal of Applied Physics. 1972. - Vol.42. - Iss.10. -P.4044-4046.

34. Кикоин, И.К. Справочник. Таблица физических величин / Под редакцией И.К. Кикоина // Москва, Атомиздат. 1976.

35. Блохин, М.А. Физика рентгеновских лучей / М.А. Блохин // Москва. -1953. -с.13.

36. Kramers, Н.А. Uber ZusammenstoJe zwischen Atomen undfreien Elektronen / H.A. Kramers // Philosophical Magazine. 1923. - Vol.46. - P.836-871.

37. Wentzel, G. Zur Quantentheorie des Rontgenbremsspektrums / G. Wentzel // Zeitschrifi fur Physik A Hadrons and Nuclei. 1924. - Vol.27. -N.l. -P.257-284.

38. Блохин, M.A. Физика рентгеновских лучей / M.A. Блохин // Москва. -1953. -с.87.55.www.exex.com56. http://microanalvst.mikroanalytik.de/software.phtml

39. Афонин, В.П. Метод Монте-Карло в рентгеноспектральном анализе / В.П. Афонин, В.И. Лебедь // Новосибирск, Наука. 1989. - с.6.

40. Green, M.A. A Monte Carlo Calculation of the Spatial Distribution of Characteristic X-ray Production in a Solid Target / M.A. Green II Proceedings of the Physical Society. 1963. - V.82. - N.2. - P.204-215.

41. Bishop, H.E. The history and development of Monte Carlo methods for use in X-ray microanalysis / H.E. Bishop // Use of Monte Carlo calculations in electron probe X-ray microanalysis, Washington. 1976. - P. 5-13.

42. McDonald, I. The attenuation and backscattering of electron beams by thin films /1. McDonald, A. Lamki, C. Delaney // Journal of Physics D: Applied Physics. 1971. -Vol.4. -N.8.-P.1210-1217.

43. Bethe, H. Zur theorie des durchgangs schneller korpuskularstrahlen durch materie / H. Bethe II Annalen der Physik. (Leipzig). 1930. -N.5. - P.325-400.

44. Murata, K. Monte Carlo Calculations on Electron Scattering in a Solid Target / K. Murata, T. Matsukawa, R. Shimizu // Japanese Journal of Applied Physics. 1971. -Vol.10.-N.6.-P.678-686.

45. Murata, K. Study on the Resolution of the Backscattered Electron Image by the Monte Carlo Method / K. Murata, T. Matsukawa, R. Shimizu // Japanese Journal of Applied Physics. 1971. - Vol.10. -N.9. - P. 1290-1291.

46. Reimer, L. Monte Karlo rechnungen zur elektronendiffiision / L. Reimer // Optik. -1968. Bd. 27. - N.2. - S.86-99.

47. Abrahamson, A.A. Born-Mayer-Type Interatomic Potential for Neutral Ground-State Atoms with Z=2 to Z=105 / A.A. Abrahamson // Physical Review. 1969. - Vol.178. -Iss.l. - P. 76-79.

48. Bloch, F. Bremsvermogen von atomen mit mehreren electronen / F. Bloch // Zeitschrifi fur Physik A Hadrons and Nuclei. 193. - Bd.22. - S.363-376.

49. Kim, Y.-K. Binary-encounter-dipole model for electron-impact ionization / Y.-K. Kim, M.E. Rudd // Physical Review A. 1994. - Vol.50. - P.3954-3967.

50. Kim, Y.-K. Scaling of Coulomb Born cross sections for electron-impact excitation of singly charged ions / Y.-K. Kim // Physical Review A. 2002. - Vol.65. - P.022705.

51. Krause, M.O. Atomic radiative and radiationless yields for К and L shells / M.O. Krause // Journal of Physical and Chemical Reference Data. 1979. - Vol.8. - Iss.2. -P.307-327.

52. Блохин, M.A. Рентгеноспектральный справочник / M.A. Блохин, И.Г. Швейцер // Москва, Наука. 1982. - с.38.71. http://www-cxro.lbl.gov/opticalconstants/pert form.html

53. McGeoch, М. Radio-Frequency-Preionized Xenon Z -Pinch Source for Extreme Ultraviolet Lithography / M. McGeoch // Applied Optics. 1998. - Vol.37. - Iss.9. -P.1651—1658.

54. Rocca, J.J. Study of the soft X-ray emission from carbon ions in a capillary discharge / J.J. Rocca, M.C. Marconi, F.G. Tomasel // IEEE Journal of Quantum Electronics. -1993,- Vol.29. Iss. 1. - P. 182-191.

55. Azam АН, M. High sensitivity nanocomposite resists for EUV lithography / M. Azam АН, K.E. Gonsalves, V. Golovkina, F. Cerrina // Microelectronic Engeniring. 2003. - Vol.65.-Iss.4.-P.454-462.

56. Bulgakova, S.A. Polymethyl methacrylate based x-ray resists for 13 nm spectral range / S.A. Bulgakova, A.Ya. Lopatin, V.I. Luchin, L.M. Mazanova, N.N. Salashchenko // Surface Investigation. 1999. - Vol.15. - P. 185-194.

57. Bulgakakova, S.A. PMMA-based resists for a spectral range near 13 nm / S.A. Bulgakakova, A.Ya. Lopatin, V.I. Luchin, L. M. Mazanova, S. A. Molodnjakov, N. N. Salashchenko // Nuclear Instruments and Methods in Physics Research Section A:

58. Accelerators, Spectrometers, Detectors and Associated Equipment. 2000. - Vol.448. -P.487-492.

59. Котляков, H.C. Уравнение в частных производных математической физики / Н.С. Кошляков, Э.Б. Глинер, М.М. Смирнов // М.: Высшая школа, 1970. С.400.

60. Барби, Т.В. (мл.) Многослойные структуры в рентгеновской оптике. Рентгеновская оптика и микроскопия / Под ред. Г. Шмаля, Д. Рудольфа: пер.с англ. // М.: Мир. 1987. - С. 232-248.

61. Gobel, H. Abstracts / H. Gobel // АСА Annual Meeting (August 9-14, 1992, Pittsburgh, PA), 20, 3.

62. Salashchenko, N.N. Short-period X-ray multilayers based on Cr/Sc / N.N. Salashchenko, E. Shamov // Optics Communication. 1999. - Vol.134. - P.7-10.

63. Martin, J.I. Ordered magnetic nanostructures: fabrication and properties / J.I. Martin, J. Nognes, K. Liu, J. L. Vicent, I.K. Schuller // Journal of Magnetism and Magnetic Materials. 2003. - Vol.256. - P.449-501.

64. Platonov, Yu. Status of small d-spacing x-ray multilayers development at Osmic / Yu. Platonov, L.Gomez, D. Broadway // Proceedings SPIE. 2002. - Vol.4782. - P. 152159.

65. Stearns, D.G. The scattering of x rays from nonideal multilayer structures / D.G. Stearns // Journal of Applied Physics. 1989. - Vol.65. - P.491-506.

66. Bahr, D. X-ray reflectivity and diffuse-scattering study of CoSi2 layers in Si produced by ion-beam synthesis / D. Bahr, W. Press, R. Jebasinski, S. Mantl // Physical Review B. 1993. - Vol.47. - Iss.8. - P.4385-4393.

67. Payne, A.P. Influence of roughness distributions and correlations on x-ray diffraction from superlattices / A.P. Payne, B.M. Clemens // Physical Review B. 1993. -Vol.47. - Iss.4. - P.2289-2300.

68. Андреев, А.В. Резонансное усиление диффузного рассеяния рентгеновских лучей в гетероструктуре волноводного типа / А.В. Андреев, Ю.В. Пономарев, И.Р Прудников, Н.Н. Салащенко // Письма в ЖЭТФ. 1997. - т.66. - вып.4. -с.219-223.

69. Andreev, A.V. Reflectivity and Roughness of X-ray Multilayer Mirrors. Specular Reflection and Angular Spectrum of Scattered Radiation / A.V. Andreev, A.G. Michette, A. Renwick, Journal of Modern Optics. 1988. - Vol.35. - P.1667-1987.

70. Renner, O. Properties of laser-sputtered Ti/Be multilayers / O. Renner, M. Kopecky, E. Krousky, F. Schafers, B. R. Muller, N. I. Chkhalo // Review of Scientific Instruments. 1992. - Vol.63. - Iss.l. - P. 1478-1481.

71. Stearns, D.G. Nonspecular x-ray scattering in a multilayer-coated imaging system / D.G. Stearns, D.P. Gainess, D.W. Sweeney, E. M. Gullikson // Journal of Applied Physics. 1998. - Vol.84. - Iss.2. - P.l003-1028.

72. Коваленко, H.B. Исследование кросс-корреляции шероховатости в многослойном зеркале Ni/C методом рентгеновского диффузного рассеяния / Н.В. Коваленко, С.В. Мытниченко, В.А. Чернов // ЖЭТФ. 2003. - т. 124. -вып. 6(12).-с.1345-1357.

73. Holy, V. X-ray reflection from rough layered systems / V. Holy, J. Kubena, I. Ohlidal, K. Lischka, W. Plotz // Physical Review B. 1993. - Vol.47. - Iss.23. - P. 15896-15903.

74. Underwood, J.H. Layered synthetic microstructures as Bragg diffractors for X rays and extreme ultraviolet: theory and predicted performance / J.H. Underwood, T.W. Barbee// Applied Optics. 1981. - Vol.20. -Iss.17. -P.3027.

75. Рытов, С.М. Введение в статистическую радиофизику. Часть II / С.М. Рытов, Ю.А. Кравцов, В.И. Татарский // М: Наука. 1978. - С. 197.

76. С.В. Гапонов, В.М. Генкин, Н.Н. Салащенко, А.А. Фраерман, ЖТФ 56, В.4., 708 (1986).

77. Akhsakhalyan, A.D. Determination of layered synthetic microstructure parameters / A.D. Akhsakhalyan, A A. Fraerman, N.I. Polushkin, Yu.Ya. Platonov, N.N. Salashchenko //Thin Solid Films. 1991. - Vol.203. - P.317-326.

78. Shellan, J.B. Statistical analysis of Bragg reflectors / J.B. Shellan, P. Agmon, A. Yariv//Journal of the Optical Society of America. 1978. -v.68. -Nl. - P. 18-27.

79. Spiller, E. Enhancement of the reflectivity of multilayer x-ray mirrors by ion polishing / E. Spiller // Optical Engineering. 1990. - Vol.29. - P.609-613.

80. Vernon, S.P. Ion-assisted sputter deposition of molybdenum-silicon multilayers / S.P. Vernon, D.G. Stearns, R.S. Rosen // Applied Optics. 1993. - Vol.32. - P. 6969-6974.

81. A7. Бибишкин, М.С. Рефлектометр с модернизированной оптической схемой для исследования элементов рентгенооптики в диапазоне 0,6-20 нм // М.С.

82. Бибишкин, И.Г. Забродин, С.Ю. Зуев, Е.Б. Клюенков, А.Е. Пестов, Н.Н. Салащенко, Д.П. Чехонадских, Н.И. Чхало // Поверхность. Рентгеновские, синхротронные и нейтронные исследования. 2005. - №2. - С.23-27.

83. Материалы конференций и тезисы докладов с участием автора

84. Т4. Бибишкин, М.С. Основные характеристики детекторов на основе МКП / М.С. Бибишкин, А.Е. Пестов, Н.И. Чхало // Радиофизическая конференция 2002г. Тезисы докладов. Нижегородский государственный университет им. Н.И. Лобачевского. 2002. - С. 12.

85. Т5. Бибишкин, М.С. Рефлектометрия в мягком рентгеновском и экстремальном ультрафиолетовом диапазонах / М.С. Бибишкин, А.Е. Пестов, А .Я. Лопатин,

86. H.И. Чхало // Восьмая нижегородская сессия молодых ученых. (Естественнонаучные дисциплины): Тезисы докладов. Нижний Новгород.2003.-С.22.

87. A. Kaskov, E.B. Klyuenkov, A.E. Pestov, N.N. Salashchenko, I.G. Zabrodin, S.Yu. Zuev // Proceedings of the 7th International Conference on the Physics of X-Ray Multilayer Structures. March 7-11 2004. Rusutsu Resort. Sapporo. Japan.2004. p.07-02.

88. Т16. Бибишкин, М.С. Характеристики абсорбционных EUV-фильтров при высоких тепловых нагрузках / М.С. Бибишкин, С.А. Гусев, И.Г. Забродин,

89. А.Ю. Климов, Е.Б. Клюенков, А.Я. Лопатин, В.И. Лучин, А.Е. Пестов, Н.Н. Салащенко, Н.Н. Цыбин, Н.И. Чхало, Л.А. Шмаенок // Материалы симпозиума «Нанофизика и наноэлектроника», г. Нижний Новгород. 2006. - с.358-359.