Модификация нанопористых диэлектрических материалов в плазме и ее послесвечения тема автореферата и диссертации по физике, 01.04.08 ВАК РФ

Зырянов, Сергей Михайлович АВТОР
кандидата физико-математических наук УЧЕНАЯ СТЕПЕНЬ
Москва МЕСТО ЗАЩИТЫ
2010 ГОД ЗАЩИТЫ
   
01.04.08 КОД ВАК РФ
Диссертация по физике на тему «Модификация нанопористых диэлектрических материалов в плазме и ее послесвечения»
 
Автореферат диссертации на тему "Модификация нанопористых диэлектрических материалов в плазме и ее послесвечения"

На правах рукописи

Зырянов Сергей Михайлович

004607164

Модификация нанопористых диэлектрических материалов в плазме и ее послесвечении

Специальность: 01.04.08 - Физика плазмы

АВТОРЕФЕРАТ диссертации на соискание ученой степени кандидата физико-математических наук

2 2 ИЮЛ

Москва - 2010

004607164

Работа, выполнена на Кафедре атомной физики, физики плазмы и микроэлектроники Физического факультета Московского Государственного Университета имени М. В.

Ломоносова.

Научный руководитель:

доктор фиаико-лштематичестх наук, профессор

Рахимов Александр Турсуновт доктор физико-математических наук, Филиппов Анатолий Васильевич (Троицкий институт инновационных « термоядерных исследований) доктор физико-математич е ских »шук, Лукичев Владимир Федорову,ч (Физико-техшиюгичеслтй институт РА Н) Институт спектроскопии Российской Академии Наук

Официальные оппоненты:

Ведущая организация:

Защита состоится 23 июня 2010 года в 16 часов 30 минут на заседании совета по защите докторских и кандидатских диссертаций Д.501.00Ц5 при Московском Государственном Университете имени М. В. Ломоносова по адресу: 119991, Россия, г. Москва, Ленинские горы,, д. 1, стр. 5, НИИ ядерной физики имени Д. В. Скобельцына МГУ имени М. В. Ломоносова (19-й корпус, аудитория. 2-15).

С диссертацией можно ознакомиться в библиотеке НИИ ядерной физики имени Д. В. Скобельцына МГУ имени М. В. Ломоносова.

Автореферат разослан «. / ^ »_-¿-<-0_А 2010 г.

Ученый секретарь

совета по защите докторских и кандидатских диссертаций Д.501.001-45,

кандидат фттко-математичсских паук

Общая характеристика работы

Актуальность работы Использование 1ои'-к материалов (материалов с низкой ди-тектрической постоянной) в качестве межслойиых диэлектриков в микросхемах является щим из подходов для повышения объемной плотности элементов в ыикроэлсктронных зтройствах, известном как закон Мура [1]. Уменьшение толщины диэлектрика при умень-[ении характерных размеров элементов микросхем приводит к возникновению наводок ежду проводниками, разделенными слоем диэлектрика. Использование материала с бо-зе низкой диэлектрической постоянной (чем у традиционно использующегося диоксида ремния 5г0г) позволяет снизить уровень наводок, так как емкостная связь между провод-иками обратно пропорциональна диэлектрической постоянной межслойпого материала.

Также использование материалов с низкой диэлектрической постоянной позволяет асширить диапазон рабочих частот микросхем, верхняя граница которого приближается волновому пределу. Поскольку длина электромагнитной волны А связана с диэлектри-еской постоянной среды, в которой она распространяется (А = с/(к ■ и), где с - скорость зета, V - частота электромагнитной волны, к - диэлектрическая постоянная), снижение иэлектрической постоянной межслойного диэлектрика позволяет повысить частоту так-ирующего сигнала в микросхеме и избежать перехода в волновой режим распространения •1гнала. Также, как видно, скорость распространения сигнала обратно пропорциональна иэлектрической постоянной среды. То есть, использование кт7-к материалов позво;шет газить задержки распространения управляющих напряжений между элементами микросемы.

Поскольку одним из направлений совершенствования микроэлектронных устройств вляется снижение их энергопотребления, важной задачей является уменьшение токов зрезарядки межслойпой емкости и паразитных токов утечки между проводниками мик-эсхемы. Потребляемая мощность в расчете на один проводник в микросхеме складывает-I из динамической мощности при изменении напряжения на проводнике (Р = а(Свых + пров + Свх)/!^2, где а - отношение времени активности проводника к полному времени ра-эты микросхемы, СВЬ1Х и С„х - выходная и входная емкости транзисторов соответственно, '„ров - емкость соединительного проводника, / - рабочая частота, V - рабочее нанряже-ие) и статической мощности утечки, пропорциональной емкости межслойпого диэлектри-1. Таким образом использование Ьтл'-к материалов позволяет снизить паразитные потери 1ергии и тепловыделение микросхемы.

Основные трудности, связанные с использованием кж-к материалов при изготовлении микрочипов связаны с необходимостью встраивания процессов их осаждения и травления в них наноструктур в существующие отработанные технологические процессы. Основные направления совершенствования пористых диэлектрических пленок - это уменьшение их диэлектрической постоянной, увеличение механической и температурной стабильности, предотвращение их повреждения при плазменной обработке, увеличение прочности меж-слойных соединений при осаждении кж-к пленок на поверхность других материалов и ДР-

Цель диссертационной работы

Целями представленной работы являются детальный анализ модификации пористых диэлектрических кот-к БЮСН пленок атомами кислорода/водорода и установление механизма запечатывания верхнего пористого слоя пленки в плазме, позволяющего предотвратить эту модификацию.

Для достижения поставленных целей решались следующие задачи:

1. Разработка системы время-разрешенного измерения плотности атомов кислорода в плазменном послесвечении.

2. Измерение вероятности гибели атомов кислорода и водорода на 1о\\'-к пленках.

3. Установление механизма взаимодействия атомов кислорода с кда-к пленками, путем согласования расчетных и экспериментальных данных по модификации кж-к пленок в послесвечении кислородной плазмы, а также оценка вероятности удаления метиль-ной группы с внутренней поверхности поры атомом кислорода.

4. Установление механизма запечатывания верхнего пористого слоя кж-к пленки в плазме гелия и оценка эффективности запечатывания путем измерения вероятности гибели атомов кислорода и водорода на кж-к пленке до и после гелиевой предобработки.

Научная новизна

В представленной работе впервые проведено измерение вероятности гибели атомов на пористой диэлектрической пленке с помощью разработанной системы время-разрешен-ной актинометрической диагностики, а также продемонстрирована возможность таких измерений даже в случае малых концентраций атомов над образцом. Также в работе пу-

тем сравнения экспериментальных и расчетных данных показано, что основным процессом, определяющим плотность атомов кислорода в пористых каналах, и, следовательно, скорость удаления метильных групп из объема пор, является процесс рекомбинации атомов кислорода на внутренней поверхности пор, а также оценена вероятность удаления метилыюй группы атомом кислорода. Путем исследования модификации кж-к образцов при обработке в плазме гелия и последующей обработки в послесвечении кислородной и водородной плазмы установлен механизм запечатывания верхнего пористого слоя кж-к пленок, а также с помощью измерения вероятностей гибели атомов кислорода и водорода па предобработанных и непредобработапных в гелии образцов оценена эффективность данного метода запечатывания пор. Практическая значимость

Обработка в кислородной плазме, благодаря высокой химической активности атомов кислорода, является одним из основных способов удаления фоторезистивного слоя при производстве микрочипов. Однако, при данной обработке происходит существенная модификация low-k ЯЮСН пленок, приводящая к увеличению диэлектрической постоянной материала пленки и ухудшению ее механической и температурной стабильности. Понима-пие механизма модификации 1оте-к материалов в кислородной плазме, установленного в данной работе, а также использование различных методик предотвращения данной модификации (одна из них - запечатывание верхнего пористого слоя в результате плазменной обработки - представлена в данной работе) дают возможность использования современных диэлектрических нанопористых материалов с низкой диэлектрической постоянной в существующих технологических процессах производства микрочипов.

На защиту выносятся следующие основные результаты и положения

1. Продемонстрирована возможность измерения вероятности гибели активных радикалов на поверхности различных материалов в протоке газа с использованием время-разрешенной актинометрии.

2. Измерены вероятности гибели атомов кислорода на различных 1о№-к ЗЮСН пленках.

3. С использованием разработанной Монте-Карло модели продемонстрировано, что рекомбинация атомов кислорода на стенках нанопор в кж-к БЮСН пленках является процессом, определяющим профиль распределения плотности атомов кислорода по

глубине пленки и, соответственно, динамику удаления метальных групп из объема пор.

4. Оценена вероятность удаления метильной группы в нанопоре low-k SiOCH пленки атомом кислорода.

5. Установлен механизм запечатывания верхнего пористого слоя low-k SiOCH пленки в плазме.

6. По результатам измерения вероятности гибели атомов кислорода и водорода на различных low-k SiOCH пленках оценена эффективность запечатывания верхнего пористого слоя пленки в плазме гелия.

Апробация работы

Результаты данной работы были представлены в докладах па 4 Всероссийских и Международных конференциях:

1. Advanced Metallization Conference 2008, Sanjo Conference Hall, The Univ. of Tokyo, Tokyo, 08-10 октября 2008 г. Устный доклад.

2. 2009 MRS Spring Meeting, Moscone West | San Francisco Marriott, San Francisco, CA, 13-17 апреля 2009 г. Устный доклад.

3. 62nd Gaseous Electronics Conference, Saratoga Springs, NY, USA, 20-20 октября 2009 г. Устный доклад.

4. VII Курчатовская молодежная научная школа, РНЦ Курчатовский институт, Москва, 10-12 ноября 2009 г. Устный доклад.

Публикации Материалы диссертации опубликованы в 5 печатных работах, из них 1 статья в рецензируемом журнале [Al], 2 статьи в сборниках трудов конференций [А2, A3] и 2 тезиса докладов [A4, А5]. Личный вклад автора

Автором была разработана система актинометрической диагностики плазмы и послесвечения плазмы в реальном времени, которая позволила измерить концентрации активных атомов (кислорода и водорода) в послесвечении емкостного разряда. Также была разработана система зондовой диагностики плазмы, которая позволила измерить плотность

ионов и температуру электронов, а также плавающий и плазменный потенциалы в поверхностно-волновом ВЧ разряде в гелии, в котором осуществлялось «запечатывание» пор на поверхности 1оет-к пленки. С использованием данных экспериментальных установок совместно с диагностиками структуры пленки были проведены измерения характеристик процессов модификации кж-к образцов, позволившие понять механизмы изменения внутренней структуры кж-к материалов при обработке в различных условиях.

Структура и объем диссертации

Диссертация состоит из четырех глав. Глава 1 посвящена обзору существующей информации по кж-к материалам, диагностическим техникам, применяющимся при их изучении и проблемам, возникающим при их использовании в технологических процессах. В главе 2 описаны исследованные кж-к материалы и экспериментальные установки, разработанные и используемые для проведения данных исследований. Глава 3 посвящена определению механизма модификации кж-к материалов атомами кислорода и водорода. Глава 4 посвящена установлению механизма «запечатывания> пор в верхнем слое кж-к пленки в плазме и послесвечении плазмы гелия. Основные результаты работы представлены в заключении.

Содержание работы

Во Введении обоснована актуальность диссертационной работы, сформулирована цель и аргументирована научная новизна исследований, показана практическая значимость полученных результатов, представлены выносимые на защиту научные положения.

В первой главе рассматриваются перспективы развития технологии производства микроэлектронных устройств (см. таб. 1 ), физические принципы уменьшения диэлектрической постоянной материалов межслойных изоляторов, классификация, методы производства и диагностики кж-к пленок а также их свойства и особенности модификации в технологических процессах.

Во второй главе рассматриваются исследованные кж-к материалы, а также экспериментальные установки и диагностические техники, применявшиеся в работе.

В разделе 2.1 описываются технология изготовления и свойства 1о\у-к материалов, модификация которых исследовалась в работе. Взаимодействие атомов кислорода с кж-к пленками изучалось для трех типов напопористых БЮСН пленок (см. таб. 2). Пленки были осаждены на кремниевую подложку методом РЕСУБ, используя смесь 5гОСЯ-прскурсора

Год 2001 2004 2007 2010 2013 2016

1/2 расстояния между элементами (DRAM), нм 130 90 65 45 32 22

1/2 расстояния между элементами (MPU), нм 150 90 65 50 35 25

Длина затвора транзистора (MPU), нм 65 37 25 18 13 9

Характерная толщина межслойиого диэлектрика, нм 670 460 290 205 140 100

Полная емкость соединительного проводника, фф/мм 211 186 167 143 133 128

ЯС-задержка сигнала на 1мм соединительного проводника, пс 21 37 79 131 248 452

Диэлектрическая постоянная (к) (материала межслойиого диэлектрика) 2.7 2.4 2.1 1.9 1.7 1.6

Диэлектрическая постоянная (к) (эффективная) 3-3.6 2.6-3.1 2.3-2.7 < 2.1 < 1.9 < 1.6

Таблица 1: Дорожная карта П'КБ 2001 по характерным тех. процессам и характеристикам межслойных диэлектриков [2].

Условное наименование CVD1 CVD2 CVD3

Пористость (%) 24 24 33

Средний радиус пор (нм) 0.8 0.8 0.9

Толщина 1о\у-к пленки (нм) 500 200 200

Таблица 2: Характеристики исследованных low-k образцов.

Рис. 1: Схематическая структура материала с высокой степенью пористости (поры соединены канатами)

и органических порогенов. Варьированием процентного содержания компонентов газовой смеси достигались различные параметры пористой пленки. После осаждения пленки обрабатывались УФ излучением при температуре 430-450 "С. Такая обработка, как известно, позволяет добиться удаления порогенов и формирования каркаса пористой пленки с перекрестными связями [3]. Степень пористости и размер пор в пленках измерялись с помощью эдлипсометрической поросиметрии [4].

Структурной основой SiOCH пленок является решетка, образованная химическими связями Si — О — Si. В зависимости от валентного угла связи Si — О — Si различают несколько типов Si — O — Si структур и, следовательно, SiOCH материалов. Так называемые «network» и «cage» Si — О — Si связи образуют сравнительно плотные структуры, аналогичные диоксиду кремния, в то время как линейные («linear») Si — О — Si связи могу образовывать довольно длинные цепочки, создавая перекрестные связи в SiOCH материале и, таким образом, формируя границы пор. Большая часть атомов кремния в линейных Si — О — Si связях замыкаются метальными группами, и Si — СH¡ связи покрывают большую часть площади внутренней поверхности пор. Благодаря пористости материала и малой поляризуемости связей Si — СНз по сравнению со связями Si — Н, диэлектрическая постоянная таких SiOCH материалов имеет значение к ~ 2.4 [А1]. В SiOCH материалах с большим диаметром пор (> 1 нм) и высокой степенью пористости (> 25-30%), поры связаны друг с другом перемычками, что схематически показано на рис.

В разделе 2.2 описываются экспериментальные установки, применявшиеся для обра-

Поток газа

Система актинометрической 40 СГ1ектРальп°й диагностики МГц

Поток газа

low-k образец

Система сдвига верхней пластины

Рис. 2: Схема экспериментальной установки.

ботки кж-к пленок атомами кислорода/водорода и обработки пленок в плазме и послесвечении плазмы гелия.

Схема экспериментальной установки, использовавшейся для обработки образцов кж-к пленок в послесвечении плазмы кислорода и водорода представлена на рис. 2. Разряд зажигался в длинной кварцевой трубке (внутренний диаметр -14 мм). Атомы кислорода или водорода образовывались в емкостном разряде на частоте 13.56 МГц, занимавшем ~10-11 см длины трубки при вводимой ВЧ мощности 80-90 Вт (плазма кислорода), 150-160 Вт (плазма водорода). Давление поддерживалось постоянным - 10 Тор, а скорость протока газа составляла. 5.7 м/с на протяжении всех экспериментов. Для использования метода актинометрии для определения степени диссоциации молекул 0> и Д2 над поверхностью кж-к образцов в поток кислорода (или водорода) добавлялось 10% аргона.

Прямоугольный кж-к образец с площадью поверхности ~1 см2 располагался в те-флоновой «лодочке» в дальнем послесвечении разряда (~35 см от конца электродов). Образец закрывался тонкой подвижной тефлоновой крышкой для защиты поверхности кж-к материала от взаимодействия с атомами во время включения и настройки разряда. Тефлояовая крышка быстро сдвигалась и оставалась открытой на определенное время для обработки образца атомами кислорода или водорода.

Схема экспериментальной установки гелиевой предобработки кж-к пленок показана на рис. 3. В качестве плазменного реактора использовалась длинная кварцевая трубка (внутренний диаметр 56 мм, длина ~1 м), в которой располагались кж-к образцы. В

Противоэлектрод Ленгмюровский зонд Плазма ' 1

Образец №4

Образец №3

Образец №2

81 МГц 23 Вт

Рис. 3: Экспериментальная установка

чистом гелии низкого давления (20 мТор) с помощью ВЧ-антенны, состоящей из двух кольцевых электродов (расстояние между электродами - 5 см), зажигался поверхностно-волновой разряд на частоте 81 МГц (~23 Вт).

Четыре кж-к образца одного типа (СУ1>1 или С\Т>2 или СБУЗ) размещались на внутренней поверхности трубки на различном удалении от электродов. Образец №1 располагался непосредственно в разряде на расстоянии 10 см от электродов. Он в наибольшей степени подвергался интенсивному воздействию плазмы гелия (ионов гелия Не+, мета-стабильных атомов Не* (20.6 эВ) и 35'1(19.8 эВ)) и вакуумного ультрафиолетового излучения из объема плазмы (резонансный переход Яе(1Р1 —1 Бо) , 21.2 эВ). Образец №2 также располагался в разряде, но вблизи конца плазменного столба, где плотность плазмы была в несколько раз меньше, и, следовательно, влияние плазмы (прежде всего связанное с ионами) на кж-к пленку, было заметно более слабым. Образцы №3 и №4 размещались вне плазменного столба в области дальнего послесвечения. Образец №3 был повернут стороной с кж-к пшенкой в направлении разряда, и, таким образом, испытывал воздействие метастабильных атомов Не* и вакуумного ультрафиолетового излучения. Образец №4 был закрыт от ВУФ излучения и обрабатывался исключительно метастабильными атомами гелия. Таким образом, различные виды предобработки кж-к пленок были изучены в определенной степени по отдельности.

Для получения значений потока и энергии ионов, бомбардирующих поверхность кж-к пленки были проведены зондовые измерения с использованием подвижного ленг-

Nit см-3 Те,эВ VP,B vf, В fi, см 2с 1

Образец №1 3.5 • Ю10 6.3 33.4 7.9 5.9 • 1016

Образец №2 9.4 • 109 6.1 22.1 9.7 1.6 • 1016

Таблица 3: Результаты зондовых измерений в плазме для образцов №1 и №2 (на расстоянии 10 и 20 см от электродов), - плотность ионов, Те - температура электронов, Vp и V/ -плазменный и плавающий потенциалы, fi - поток ионов на поверхность образца.

мюровского зонда из вольфрамовой проволоки (диаметр - 0.3 мм, длина - 10 мм, диаметр держателя < 1 мм), размещенного на оси разряда. В роли противоэлектрода для зонда выступал заземленный металлический фланец, находящийся в непосредственном контакте с плазмой. Данные зондовых измерений в плазме над образцами №1 и №2 представлены в таб. 3. Потоки ионов на поверхность образцов рассчитывались по измеренным температуре электронов и плотности ионов с учетом критерия Бома, а также непосредственно измерялись путем замены образцов на плоские зонды. Для оценки распределения ионов, падающих на образцы, по энергиям движение ионов в заданном радиальном амбиполяр-ном потенциале моделировалось методом Монте-Карло. Распределение ионов по энергиям - пикированное, немного уширяется из-за столкновений ионов с частицами газа и незначительно меняется вдоль плазменного столба по сравнению с сильным изменением плотности ионов и потока ионов на образцы. В связи с этим энергия ионов предполагалась неизменной вдоль плазменного столба.

В разделе 2.3 описываются методы объемной и поверхностной диагностики low-k пленок, применявшиеся в работе.

Измерение рентгенофлуоресцентного (XRF) спектра (XRF спектрометр Oxford Instruments + сканирующий электронный микроскоп) при различных энергиях возбуждающего электронного пучка (от 2 до 10 кэВ) позволило получить информацию об относительной плотности атомов Si, О и С в объеме low-k образцов, измеряя интенсивность соответствующих линий спектра. Относительное изменение плотностей атомов определялось по изменению интенсивности пиков, соответствующих атомам Si, О and С в спектре.

Фурье-ИК (FTIR) спектроскопия использовалась для детектирования ИК-активных химических связей в low-k пленках. Аналогично XRF анализу, измерение относительного изменения интенсивности ИК-пиков (соответствующих связям О — Н, С — Н, Si — СН3 и Si —О — Si) после обработки образцов атомами кислорода позволило получить информа-

цию как о модификации SiOCH структуры, так и об относительном изменении плотности атомов С и О в объеме пленки.

Атомно-силовая микроскопия (AFM) использовалась для оценки статистической грубости поверхности low-k пленки до и после обработки. Стоит отметить, что статистическая грубость поверхности всех исследуемых пленок была достаточно низкой (— 0.4 шп). Более того, изменение грубости поверхности после обработки в плазме и послесвечении не было зарегистрировано.

В разделе 2.4 описывается метод актинометрии, применявшийся в работе для измерения плотности атомов кислорода или водорода в плазмепном послесвечении. При использовании метода актинометрии накачка возбужденного состояния атома исследуемого газа осуществляется электронами газового разряда, а актинометрическим сигналом наг зывается отношение интенсивностей линий излучения атома и актинометра (некоторой вспомогательной примеси, чаще всего атомов благородного газа, которая не участвует в химических реакциях и сечение возбуждения электронным ударом излучающего уровня которой подобно по форме сечению возбуждения атомов). В данной работе в качестве актинометра использовался аргон. Для реализации актинометрических измерений использовался маломощный (< 3-4 Вт) емкостной разряд на частоте 40 МГц (длина электродов ~1.5 см, см. рис. 2) в однородном а-режиме, возбуждающий атомы кислорода (водорода) и аргона, в послесвечении основного разряда на частоте 13.56 МГц. Излучение из центра разряда на частоте 40 МГц собиралось двумя световодами, ведущими к полупроводниковым детекторам. Линии излучения кислорода 0(5Р —5 5) на длине волны 777 нм и аргона Ar(2pi — Isa) - 750 нм выделялись интерференционными фильтрами (ширина полосы пропускания ~8 нм) (в случае измерения степени диссоциации молекул водорода использовался фильтр на линии На - 656 нм). В условиях данной работы актинометриче-ский сигнал прямо пропорционален степени диссоциации молекул кислорода или водорода в плазменном послесвечении.

В разделе 2.5 описывается метод измерения вероятности гибели атомов О и Я на поверхности low-k пленок с использованием время-разрешенной актинометрии. Вероятность гибели атомов на образце можно представить в виде: у = itefim + ¿7> где ftefion - вероятность гибели атомов на поверхности тефлона (материала держателя low-k образцов), а 8-у - разница между вероятностью гибели на поверхности тефлона и вероятностью гибели на поверхности образца, itejicm определялась экспериментально по наклону кривой уменыпе-

ния логарифма плотности атомов кислорода или водорода вдоль пустого тефлонового держателя с помощью передвижения системы актинометрической диагностики вдоль трубки (см. рис. 2). Затем проводились измерения интенсивности излучения атомов кислорода и аргона (или водорода и аргона) за тефлоновым держателем синхронно со сдвигом крышки тефлонового держателя (для пустого держателя и для держателя с образцом). Гибель атомов на поверхности кж-к иленки происходит быстрее, чем на поверхности тефлона из-за более высокой вероятности гибели, а значит плотность атомов и, следовательно, ак-тинометрический сигнал, в процессе сдвига крышки уменьшаются быстрее, чем в случае тефлонового держателя без образца. Измеряя наклон зависимости логарифма отношения актинометрических сигналов для случаев «с образцом»/«без образца» от величины сдвига крышки (определяя ¿7) и зная вероятность гибели атомов на поверхности тефлона (7(е/!оп)1 можно рассчитать вероятность гибели (7) атомов на кж-к пленке [А1].

В разделе 2.6 описывается система зондовой диагностики, использовавшаяся для получения данных по плотности ионов, температуре электронов, плазменному и плавающему потенциалам в плазме гелия.

В третьей главе рассматривается механизм модификации БЮСН кж-к пленки атомами кислорода.

В разделе 3.1 показана практическая значимость установления механизма модификации БЮСН кж-к пленки атомами кислорода. Материалы данного типа могут быть существенно структурно модифицированы во время технологического процесса удаления резиста в плазме, что приводит к увеличению диэлектрической постоянной. Наиболее отработанной технологией удаления резиста является обработка в плазме кислорода, так как образующиеся в ней атомы кислорода благодаря высокой химической активности обеспечивают наиболее быстрое и полное удаление слоя резиста. Однако, именно атомы кислорода приводят к наибольшей (в сравнении с другими атомами и радикалами плазмы) модификации БЮСН кж-к пленки при плазменной обработке, что приводит к ухудшению кж-к характеристик и даже к разрушению пленки.

В разделе 3.2 приведена динамика структуры и химического состава пленок при обработке атомами кислорода. Образцы БЮСН пленок С\Т51, С\Т32 и С\ТЗЗ обрабатывались атомами кислорода на установке (рис. 2) в течение: 6, 12, 18, 24, 30, 40 и 50 с. Затем ХШ?1 и ПТО диагностики использовались для измерения соответственно относительных изменений плотности атомов кремния, углерода и кислорода и плотности соответствующих

химических связей в объеме 1о\\'-к пленки. Измеренное методом актинометрии значение степени диссоциации кислорода над 1о-иг-к образцами составило ущ — 0.005 ± 0.001. Так как атомы кислорода, проникающие внутрь пор, в основном взаимодействуют с углерод-водородными группами, то основное внимание в данной работе было уделено эволюции концентрации атомов углерода и углерод-водородных связей при обработке пленок атомами кислорода.

На рис. 4 представлены относительные изменения плотности атомов О и С (согласно ХКР измерениям), а также интенсивностей поглощения наиболее информативных в рамках данной работы химических связей (согласно спектроскопическим Б'ТЩ измерениям) после обработки образцов СУШ, СУТ)2 и СУБЗ атомами О.

На рис. 5 показан снимок скола образца СУШ, обработанного атомами кислорода (время обработки -5 Ос), сделанный на сканирующем электронном микроскопе во вторичных электронах (энергия первичного пучка электронов - 3 кэВ). Белая полоса соответствует практически полному отсутствию атомов углерода, в то время как темная полоса соответствует немодифицировашгому участку пленки. Видно, что в полном согласии с ХШ^ и ИЛК измерениями при обработке пленки СУШ атомами кислорода в течение 50 секунд, глубина удаления атомов углерода составляет чуть меньше половины толщины пленки (~200 нм).

В разделе 3.3 представлена Монте-Карло модель проникновения атомов кислорода в нанопористую БгОСН пленку и удаления метильных групп атомами О. В работе использовалась разработанная одномерная модель, основанная на симуляции поведения атомов кислорода в нанопористом материале методом Монте-Карло. Эта модель позволяет рассчитать эволюцию плотности атомов углерода и СН3-гругш в исследуемых БЮСП пленках.

В разделе 3.4 представлены выводы к третьей главе. Анализ эволюции плотностей атомов кремния, углерода и кислорода, а также различных ИК-активных химических связей (таких как С — Я, 5г — С#з, 5'г — (СЯ3)2, 5г — О — ¿>г, О - Я и т. д.) как функций времени обработки показал, что из-за сильной «взаимосоединенпости» пор в рассмотренных БЮСН материалах атомы кислорода могут глубоко проникать в 1ото-к пленку (на сотни нанометров). Атомы кислорода, проникающие в поры, реагируют с углерод-водородными группами, образуя летучие продукты, и таким образом удаляют атомы углерода из объема пленки. Вследствие этого, механизм повреждения высокоиористых Б ЮС Н пле-

12

1.0

5 0.8

! 0.6

: 0.4

! 0.2

0.0

12 5 1.о

О)

1 0.8 а

V

§ 0.6 Iм

I 0.2

И |

О [ 0.0

-плотность -плотность

° и

с

10 20 30 40 50 Время обработка, с

(а) СУ01 (ХМ?)

II

—■—плотность О —•—плотность С

10 20 30 40 Время обработки, с

(с) СТО2 (ХМ1)

50

» 1.4

—о—с-н — о— оя-ошкяг —М СН( —в-о^к>пе1т>гк —*—О-Н |п Н.О

10 20 30 40 Время обработки, с

(Ь) СУ01 (ГТЮ.)

-С-Н -о— 0&-0|]пеяг -БИТН, —«-а^Юпеитогк

10 20 30 40 Время обработки, с

((1) СУТ)2 (ГШ)

10 20 30 л 50 Время обработав1"

(е) СУБЗ (УЩ

-о— С-Н - О ,41О Ипгэг -»-!НЖ -»-О-Я-О пеПгагк

10 20 30 40 Время обработки, с

(Г) СУШ (ртт)

Рис. 4: Относительное изменение плотности атомов и химических связей при обработке 1о\у-к образца атом»« О.

.73 с, энергия пучка электронов сканирующего электронного микроскопа - 3 кэВ).

нок атомами кислорода может быть представлен как удаление атомов углерода из объема пленки, сопровождающееся перестройкой Si — О — Si структуры, переходом линейных \Si — О — Si-связей в более прочные «network» связи, замыканием некоторых свободных связей атомов кремния атомами кислорода, а также освобождение на стенках пор сайтов адсорбции, которые могут быть заняты молекулами воды и О — Я радикалами.

Модельные оценки показали, что основным процессом, определяющим глубину про-I никновения атомов кислорода в SiOCH iow-k пленку и, следовательно, скорость удаления атомов углерода из объема пленки является рекомбинация атомов кислорода с адсорбированными на стенках пор атомами О (с вероятностью рекомбинации близкой к аналогичной j величине для рекомбинации на поверхности материалов на основе кварца 2... 4-10~4)). | Подбор параметров для наилучшего согласия результатов расчета с экспериментальными данными по удалению углерода позволил оценить вероятность реакции удаления метальной группы атомом кислорода при Т~300 К. Как и ожидалось, эта вероятность оказалась малой 1... 2 ■ 10~5). Следовательно, процесс рекомбинации атомов кислорода на внутренней поверхности пор определяет форму профиля плотности атомов по глубине пленки, в то время как процесс удаления углерода идет па его фоне. Так как плотность атомов кислорода максимальна вблизи поверхности пленки, процесс удаления углерода напоминает волну реакции, идущей от верхних слоев вглубь low-k материала с уменьшением скорости распространения этой волны со временем.

Так как уменьшение диэлектрической постоянной осуществляется главным образом за счет повышения пористости и степени «взаимосоединенности» пор SiOCH материала.

воздействие химически активных частиц, проникающих в поры будет возрастать. Следовательно, для исключения повреждения кж-к материала важным является поиск методов предотвращения данного проникновения. Нестационарная пространственно-разрешенная динамика удаления СЯ3 показывает, что повреждения могут быть уменьшены или устранены вообще при:

• запечатывании верхнего слоя Ь-дг-к пленки (к примеру, при обработке в плазме);

• нанесении запечатывающей пленки, непроницаемой для атомов кислорода;

• химической модификации стенок пор для увеличения скорости рекомбинации атомов кислорода.

Результаты третьей главы опубликованы в работах [А1, А2, А4, А5].

В четвертой главе рассматривается влияние гелиевой предобработки на взаимодействие кж-к материалов с атомами кислорода и водорода.

В разделе 4.1 описывается методика установления механизма модификации кж-к пленок в плазме гелия, использующая результаты экспериментов и данные модельных расчетов.

В разделе 4.2 приводятся экспериментальные данные по динамике структуры и химического состава кж-к пленок при гелиевой предобработке и последующей обработке атомами кислорода и водорода. Результаты измерений относительных изменений интенсивности ИК-активпых химических связей в образцах СУШ и СУБЗ после различных стадий обработки представлены па рис. 6. Данные на гистограммах получены как отношения интенсивности соответствующих ИГЛ пиков к интенсивности тех же пиков в необработанных образцах после вычитания базовой линии. Для корректного сравнения эти данные нормированы на значения для необработанного образца СУ01. Далее в разделе приводится анализ относительного изменения плотности различных химических связей в кж-к пленках при обработке.

В разделе 4.3 приводятся результаты измерения вероятности гибели атомов кислорода и водорода на кж-к пленках после различных обработок. Точность измерения вероятности гибели атомов водорода оказалась существенно ниже, чем в случае атомов кислорода, из-за малого отличия вероятности гибели на образце от ее значения для поверхности тефлона (материал держателя кж-к образцов). Для атомов кислорода хорошая повторяемость результатов измерений позволяет говорить о надежности полученных данных. Веро-

•о

£» о\

О

3

I

Нормированная плотность

0

1

О

о

1

ч н

Из

» в

а г>

О <

О

ь-•

я

О <

о

Нормированная плотность

0

1

и

о ¡4

Нормированная плотность

веобряботенный

о€ра1сц

г«лис«ая плв}МЯ

II

П I, 'ятоныЯ

флке^Ая п-птмя + II ' НУф н И[' + II

Н.' + н

Й

I

0

1

со

ЁГ

<0

е

Нормированная плотность

аеобрябатямный обрниц

гелневля пля>м*

•томы О «ли«вви а

'в »'в. ■ Не* + О ПИ I + О У|

атомы }| Ы М гелнеаан плтм* + Н ВУФ я Не' + Н Н«* + н

Нормированная плотность

оерое-^мммм,,.

Нормированная плотность

Й

I

0

1

О о.ооб

м о

о

н «

Ч щ

«5 8 и

»В

н

и О К н к о а <и

И 0.000

0.005

0.004

0.003

0.002

0.001

Рис. 7: Вероятности гибели атомов кислорода на образцах СУБ1, СУБ2 и СУБЗ, обработанных атомами кислорода с и без гелиевой предобработки.

ятности гибели атомов кислорода на поверхности материалов СУБ1, СУБ2 и СУБЗ после различных обработок представлены на рис. 7 . Для оценки влияния запечатывания пор на гибель атомов кислорода в кда-к пленках использовалась модель взаимодействия атомов кислорода с БЮСН кж-к пленками, описанная в разделе 3.3. С ее помощью было показано, что изменения в тонком поверхностном слое ~10 нм, приводящие к существенному уменьшению проникновения атомов кислорода в поры после обработки в гелиевой плазме, являются достаточными для уменьшения количества столкновений атомов со стенками пористых каналов и изменения вероятности гибели атомов не кда-к пленках сопоставимого с экспериментально измеренным. Сильное уменьшение степени проникновения атомов в поры в принципе может быть обусловлено как запечатыванием пор в поверхностном слое, так и резким уменьшением «взаимосоединенности> пор в верхних слоях.

В разделе 4.4 описан механизм запечатывания нор в верхнем слое 1о\у-к пленки при обработке в плазме гелия. Углеводороды, входящие в состав порогенов, а также метильные группы, замыкающие кремниевые связи на внутренней поверхности пор сильно подвержены влиянию ультрафиолетового излучения, а также, вероятно, метастабильных атомов Не", которые разрушают углерод-водородные связи и перестраивают их в новую углево-

дородную структуру, включающую в себя атомы углерода и цепочки СЯг- Бомбардировка низкоэнергетичными ионами гелия стимулирует модификацию неупорядоченной углерод-водородной сетки, которая структурируется в более плотную углеродную сетку (наиболее вероятно в вр2 фазе), соединенную с Si — С-группами на внутренней поверхности пор.

В разделе 4.5 представлены выводы к четвертой главе. Обработка в гелиевой плазме позволяет существенно уменьшить удаление углерода из пленок атомами кислорода и водорода и, следовательно, уменьшить модификацию пленки. Вероятность гибели атомов кислорода и водорода на кж-к пленках существенно уменьшается после предобработки образцов в плазме гелия. Анализ полученных данных, включая результаты моделирования гибели атомов на поверхности и в объеме кж-к материалов, говорит о запечатывании пор верхнего слоя пленки (< 10 нм) после предобработки в плазме. Механизм запечатывания, реализуется только при одновременном воздействии ионов гелия и ВУФ фотонов (а также при аналогичном воздействии метастабильных атомов Не*). Согласно АБМ и ИГО измерениям, при гелиевой предобработке пе происходит модификации 81 — О — 5г структуры ВУФ фотонами и ионами гелия низких энергий (20-30 эВ).

Результаты четвертой главы опубликованы в работах [АЗ, А4, А5].

В Заключении представлены основные результаты диссертации.

В работе продемонстрирована возможность измерения вероятности гибели атомов кислорода и водорода на поверхности различных материалов в протоке газа с использованием время-разрегаенной актинометрии. Вероятность гибели измерялась по изменению плотности атомов за держателем с исследуемым образцом в процессе увеличения площади открытой поверхности образца. Было показано, что за счет использования временной корреляции, измерения вероятности гибели можно осуществлять даже в случае малых концентраций атомов кислорода и водорода, то есть при зашумленпых актинометриче-ских сигналах. С использованием данной методики были измерены вероятности гибели атомов кислорода и водорода на различных кж-к БЮСН пленках (3...4 - Ю-3).

Показано, что основным процессом, определяющим глубину проникновения атомов кислорода в БЮСН кж-к пленку и, следовательно, скорость удаления атомов углерода из объема пленки является рекоыбииация атомов кислорода с адсорбированными на стенках пор атомами О (с вероятностью рекомбинации ~ 2... 4 • Ю-4). Оценена вероятность реакции удаления мстильной группы атомом кислорода при Т~300 К (~ 1... 2 • 10~5). Процесс удаления углерода напоминает волну реакции, идущей от верхних слоев вглубь

low-k материала с уменьшением скорости распространения этой волны со временем.

Установлен механизм запечатывания верхнего (<10 нм) пористого слоя low-k SiOCН пленки в плазме. Механизм запечатывания реализуется только при одновременном воздействии ионов гелия и ВУФ фотонов (и аналогичном воздействии метастабильных атомов Не*). Согласно измерениям, вероятность гибели атомов кислорода и водорода на low-k пленках после предобработки в плазме гелия значительно уменьшается, что говорит об эффективности механизма запечатывания верхнего пористого слоя и снижении степени проникновения и рекомбинации атомов в пористых каналах.

Очевидно, что эффективность данного механизма запечатывания пор зависит от многих параметров, и его оптимизация зависит от типа предобрабатываемого low-k материала, характеристик плазмы, требований технологического процесса и т. д. Однако, понятно, что запечатывание будет более эффективным в нанопористых пленках с более высоким содержанием углерода и остаточных порогенов. Принимая во внимание тот факт, что увеличение содержание углерода уменьшает диэлектрическую постоянную low-k материала, методика запечатывания пор выглядит особенно привлекательно применительно к наиболее перспективным наиопористым углеродсодержащим материалам с наименьшими значениями диэлектрической постоянной.

Список публикаций

Al. Rakhimova Т. V., Braginsky О. V., Kovalev A. S., Lopaev D. V., Mankelevich Y. A., Ma-lykhin E. M., Rakhimov А. Т., Vasilieva A. N., Zyryanov S. M., Baklanov M. R. Recombination of О and H Atoms on the Surface of Nanoporous Dielectrics // IEEE Transactions on Plasma Science. 2009. — September. Vol. 37, no. 9. Pp. 1697-1704.

A2. Braginsky О. V., Kovalev A. S., Lopaev D. V., Malvkhin E. M., Mankelevich Y. A., Rakhimova Т. V., Vasilieva A. N., Zyryanov S. M., Baklanov M. R. Recombination Probabilities of О and H Atoms on the Surface of Nanoporous Low Dielectric Constant SiOCH Films // Advanced Metallization Conference 2008. 2008. Pp. 573-580.

A3. Braginsky О. V., Kovalev A. S., Lopaev D. V., Mankelevich Y. A., Malykhin E. M., Proshina О. V., Rakhimova Т. V., Rakhimov А. Т., Vasilieva A. N., Voloshin D. G., Zyryanov S. M., Baklanov M. R. Interaction of О and H Atoms with low-k SiOCH films

pretreated in He plasma // Materials Research Society Symposium Proceedings. Vol. 1156. 2009. Pp. 1156-D01-06-1156-D01-07.

:. Braginsky О. V., Kovalev A. S., Lopaev D. V., Malykhin E. M., Mankelevich Y. A., Rakhimova Т. V., Rakhimov А. Т., Vasilieva A. N., Zyryanov S. M., Baklanov M. R. The influence of He plasma pretreatment on О and H atom interaction with low-k nanoporous materials // Bulletin of the American Physical Society. 2009. — October. Vol. 54, no. 12. P. 72.

1. Брагинский О. В., Васильева А. Н., Зырянов С. М., Ковалев А. С., Лопаев Д. В., М. М. Е., А. М. Ю., Рахимова Т. В., Рахимов А. Т., Бакланов М. Р. Влияние предобработки в гелиевой плазме на взаимодействие атомов О и Н с нанопористыми low-k пленками. Механизм запечатывания напопор. // 7-я Курчатовская Молодежная Научная Школа. 2009, —Ноябрь. С. 188-189.

итированная литература

Moore G. Е. Cramming more components onto integrated circuits // Electronics Magazine. 1965. - April. Vol. 38, no. 8.

International Technology Roadmap for Semiconductors. 2001.

Verdonck P., De Roest D., Kaneko S., Caluwaerts R., Tsuji N., Matsushita K-, Kemeling N., TVavaly Y., Sprey H., Schaekers M., Beyer G. Characterization and optimization of poro-gen-based PECVD deposited extreme low-k materials as a function of UV-cure time // Surface and Coatings Technology. 2007. — September. Vol. 201, no. 22-23. Pp. 9264-9268.

Baklanov M. R., Mogilnikov K. P., Polovinkin V. G., Bultsev F. N. Betermination of pore size distribution in thin films by ellipsomctric porosimetry // Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures. 2000. Vol. 18, no. 3. Pp. 1385-1391.

Подписано к печати iR.6S.4l)_

Тираж И)0 Заказ у?

Отпечатано в отделе оперативной печати физического факультета МГУ

 
Содержание диссертации автор исследовательской работы: кандидата физико-математических наук, Зырянов, Сергей Михайлович

Введение.

Глава 1. Обзор литературы

1.1. Перспективы развития микроэлектронных устройств.

1.2. Физические принципы уменьшения диэлектрической постоянной

1.3. Классификация low-k материалов.

1.4. Методы производства low-k материалов.

1.5. Методы диагностики low-k материалов.

1.6. Свойства low-k материалов

1.7. Модификация low-k материалов в технологических процессах.

Глава 2. Материалы и методы исследования.

2.1. Исследуемые low-k материалы.

2.2. Экспериментальные установки

2.2.1. Установка для обработки low-k пленок атомами кислорода и водорода

2.2.2. Установка для гелиевой предобработки low-k пленок.

2.3. Методы объемной и поверхностной диагностики low-k пленок.

2.4. Система актинометрической диагностики.

2.5. Метод измерения вероятности гибели атомов О и Н на поверхности low-k пленок.

2.6. Система зондовой диагностики.

Глава 3. Механизм модификации SiOCH low-k пленки атомами кислорода

3.1. Введение.

3.2. Результаты эксперимента

3.3. Монте-Карло модель проникновения атомов кислорода в нанопористую SiOCH пленку. Удаление метильных групп атомами О.

3.4. Выводы.

Глава 4. Влияние гелиевой предобработки на взаимодействие low-k материалов с атомами кислорода и водорода.

4.1. Введение.

4.2. Влияние предобработки на взаимодействие low-k пленок с атомами кислорода и водорода.

4.3. Измерение вероятности гибели атомов кислорода и водорода на low-k пленках

4.4. Механизм запечатывания пор.

4.5. Выводы.

 
Введение диссертация по физике, на тему "Модификация нанопористых диэлектрических материалов в плазме и ее послесвечения"

Использование low-k материалов (материалов с низкой диэлектрической постоянной) в качестве межслойных диэлектриков в микросхемах является одним из подходов для повышения объемной плотности элементов в микроэлектронных устройствах, известном как закон Мура [1]. Уменьшение толщины диэлектрика при уменьшении характерных размеров элементов микросхем приводит к возникновению наводок между проводниками, разделенными слоем диэлектрика. Использование материала с более низкой диэлектрической постоянной (чем у традиционно использующегося диоксида кремния Si02) позволяет снизить уровень наводок, так как емкостная связь между проводниками обратно пропорциональна диэлектрической постоянной межслойного материала.

Также использование материалов с низкой диэлектрической постоянной позволяет расширить диапазон рабочих частот микросхем, верхняя граница которого приближается к волновому пределу. Поскольку длина электромагнитной волны А связана с диэлектрической постоянной среды, в которой она распространяется (А = с/{к ■ и), где с - скорость света, и - частота электромагнитной волны, к - диэлектрическая постоянная), снижение диэлектрической постоянной межслойного диэлектрика позволяет повысить частоту тактирующего сигнала в микросхеме и избежать перехода в волновой режим распространения сигнала. Также, как видно, скорость распространения сигнала обратно пропорциональна диэлектрической постоянной среды. То есть, использование low-k материалов позволяет снизить задержки распространения управляющих напряжений между элементами микросхемы.

Поскольку одним из направлений совершенствования микроэлектронных устройств является снижение их энергопотребления, важной задачей является уменьшение токов перезарядки межслойной емкости и паразитных токов утечки между проводниками микросхемы. Потребляемая мощность в расчете на один проводник в микросхеме складывается из динамической мощности при изменении напряжения на проводнике (Р = ск(СВых + С'пров + С'вх)/^2) где ос - отношение времени активности проводника к полному времени работы микросхемы, Свых и Свх - выходная и входная емкости транзисторов соответственно, Спров - емкость соединительного проводника, / - рабочая частота, V - рабочее напряжение) и статической мощности утечки, пропорциональной емкости межслойного диэлектрика. Таким образом использование low-k материалов позволяет снизить паразитные потери энергии и тепловыделение микросхемы.

Основные трудности, связанные с использованием low-k материалов при изготовлении микрочипов связаны с необходимостью встраивания процессов их осаждения и травления в них наноструктур в существующие отработанные технологические процессы. Основные направления совершенствования пористых диэлектрических пленок - это уменьшение их диэлектрической постоянной, увеличение механической и температурной стабильности, предотвращение их повреждения при плазменной обработке, увеличение прочности меж-слойных соединений при осаждении low-k пленок на поверхность других материалов и ДР

Диссертация посвящена изучению модификации пористых диэлектрических low-k SiOCH пленок атомами кислорода и водорода, а также установлению механизма запечатывания верхнего пористого слоя пленки для предотвращения этой модификации.

Благодаря высокой химической активности атомов кислорода, обработка в кислородной плазме является одним из основных способов удаления фоторезистивного слоя при производстве микрочппов. Однако, при данной обработке происходит существенная модификация low-k SiOCH пленок, приводящая к увеличению диэлектрической постоянной материала пленки и ухудшению ее механической и температурной стабильности. Для качественной оценки степени взаимодействия атомов кислорода и водорода с пористыми low-k пленками в работе приводятся результаты измерений вероятностей гибели атомов О и Я на исследуемых low-k пленках (данные получены с использованием разработанной экспериментальной установки, описание которой приводится в главе 2). Для выяснения механизма модификации low-k пленок атомами кислорода в работе осуществляется сравнение экспериментальных данных по динамике изменения химической структуры low-k пленок (полученных с использованием рентгенофлуоресцентного анализа, Фурье-ИК спектроскопии и атомно-силовой микроскопии) после обработки атомами О в послесвечении плазмы ВЧ разряда и результатов расчета с использованием разработанной Монте-Карло модели (модификация low-k SiOCH пленок атомами водорода заметно менее выраженная и происходит на временной шкале большего масштаба, поэтому количественный анализ ее механизма в работе не приводится).

Также в работе представлен механизм запечатывания пор верхнего слоя low-k пленок в плазме гелия. Описанная в работе экспериментальная установка за счет размещения образцов с напыленными low-k пленками в различных областях плазмы поверхностно-волнового разряда и ее послесвечении дает возможность раздельного изучения результатов воздействия на low-k пленки ионов гелия в плазме, вакуумного ультрафиолетового излучения (ВУФ) н метастабильных атомов гелия. Механизм запечатывания верхнего пористого слоя определяется по анализу динамики изменения химической структуры low-k пленки с использованием указанных выше поверхностных и объемных диагностик, а эффективность запечатывания верхнего слоя пленки определяется по качественной оценке степени воздействия на предобработанные в гелии low-k пленки атомов кислорода (проводятся измерения вероятности гибели данных атомов на пленках).

Диссертация состоит из четырех глав. Глава 1 посвящена обзору существующей информации по low-k материалам, диагностическим техникам, применяющихся при их изучении и проблемам, возникающим при их использовании в технологических процессах. В главе 2 описаны исследованные low-k материалы и экспериментальные установки, разработанные и используемые для проведения данных исследований. Глава 3 посвящена анализу механизма модификации low-k материалов атомами кислорода и водорода. Глава 4 посвящена анализу механизма «запечатывания» пор в верхнем слое low-k пленки в плазме и послесвечении плазмы гелия. Основные результаты работы представлены в заключении.

Личный вклад автора заключается в следующем. Автором была разработана система актинометрической диагностики плазмы и послесвечения плазмы в реальном времени, которая позволила измерить концентрации активных атомов (кислорода и водорода) в послесвечении емкостного разряда. Также была разработана система зондовой диагностики плазмы, которая позволила измерить плотность ионов и температуру электронов, а также плавающий и плазменный потенциалы в поверхностно-волновом ВЧ разряде в гелии, в котором осуществлялось «запечатывание» пор на поверхности low-k пленки. С использованием данных экспериментальных установок, совместно с диагностиками структуры пленки были проведены измерения характеристик процессов модификации low-k образцов, позволившие понять механизмы изменения внутренней структуры low-k материалов при обработке в различных условиях.

Апробация работы была проведена в процессе публикаций и докладов результатов работы на ряде российских и международных конференций: публикация в журнале «IEEE Transactions on plasma science» (Vol. 37 № 9, pp. 1697-1703), доклады на конференциях: «Advanced Metallization Conference 2008», «Materials, Processes and Reliability for Advanced Interconnects for Micro- and Nanoelectronics - 2009», «62nd Gaseous Electronics Conference» и

7-я Курчатовская Молодежная Научная Школа». На основе положительных отзывов и рецензий по результатам работы можно сделать вывод о возможности применения данной технологии при промышленном производстве микроэлектронных устройств.

Публикации по результатам работы были выполнены в ряде журналов и сборников трудов конференций. Материалы диссертации опубликованы в 5 печатных работах, из них

1 статья в рецензируемом журнале [2], 2 статьи в сборниках трудов конференций [3, 4] и

2 тезиса докладов [5, б].

 
Заключение диссертации по теме "Физика плазмы"

Основные результаты диссертации

Продемонстрирована возможность измерения вероятности гибели атомов кислорода и водорода на поверхности различных материалов в протоке газа с использованием время-разрешенной актинометрии. Методика измерения описана в разделе 2.5. Вероятность гибели измерялась по изменению плотности атомов за держателем с исследуемым образцом в процессе увеличения площади открытой поверхности образца. Было показано, что за счет использования временной корреляции, измерения вероятности гибели можно осуществлять даже в случае малых концентраций атомов кислорода и водорода, то есть при зашумленных актинометрических сигналах. С использованием данной методики были измерены вероятности гибели атомов кислорода и водорода на различных low-lc SiOCH пленках (3.4 • 10~3).

Показано, что основным процессом, определяющим глубину проникновения атомов кислорода в SiOCH low-k пленку и, следовательно, скорость удаления атомов углерода из объема пленки является рекомбинация атомов кислорода с адсорбированными на стенках пор атомами О (с вероятностью рекомбинации близкой к аналогичной величине для рекомбинации на поверхности материалов на основе кварца 2 . 4 • Ю-4)). Подбор параметров для наилучшего согласия результатов расчета с экспериментальными данными по удалению углерода позволил оценить вероятность реакции удаления метильной группы атомом кислорода при Т~300 К. Как и ожидалось, эта вероятность оказалась малой 1. 2 • Ю-5). Следовательно, процесс рекомбинации атомов кислорода на внутренней поверхности пор определяет форму профиля плотности атомов по глубине пленки, в то время как процесс удаления углерода идет на его фоне. Так как плотность атомов кислорода максимальна вблизи поверхности пленки, процесс удаления углерода напоминает волну реакции, идущей от верхних слоев вглубь low-k материала с уменьшением скорости распространения этой волны со временем.

Установлен механизм запечатывания верхнего (< 10 нм) пористого слоя low-k SiOCH пленки в плазме, и показано, что вероятность гибели атомов на пленках существенно уменьшается после предобработки образцов в плазме гелия. Механизм запечатывания реализуется только при одновременном воздействии ионов гелия и ВУФ фотонов (а также при аналогичном воздействии метастабильпых атомов Не*). Интенсивное поглощение

ВУФ фотонов углеводородами в остаточных порогенах, а также метильными группами приводит к разрушению углерод-водородных связей и их перестройке в новую структуру, состоящую из цепочек атомов углерода и СН2. Бомбардировка низкоэнергегичными ионами Не+ стимулирует удаление водорода из неупорядоченной углеводородной структуры, созданной ВУФ фотонами и атомами Не* и индуцирует ее преобразование в плотную углеродную сетку (вероятно в sp2 фазе), соединенную с атомами кремния на стенках пор. Согласно AFM и FTIR измерениям, при гелиевой предобработке не происходит модификации Si — О — Si структуры ВУФ фотонами и ионами гелия низких энергий (20-30 эВ). Согласно измерениям, вероятность гибели атомов на low-k пленках после предобработки в плазме гелия значительно уменьшается, что говорит об эффективности механизма запечатывания верхнего пористого слоя и снижении степени проникновения и рекомбинации атомов в пористых каналах.

Очевидно, что эффективность данного механизма запечатывания пор зависит от многих параметров, и его оптимизация зависит от типа предобрабатываемого low-k материала, характеристик плазмы, требовании технологического процесса и т. д. Однако, понятно, что запечатывание будет более эффективным в напопористых пленках с более высоким содержанием углерода и остаточных порогенов. Принимая во внимание тот факт, что увеличение содержание углерода уменьшает диэлектрическую постоянную low-k материала, методика запечатывания пор выглядит особенно привлекательно применительно к наиболее перспективным нанопористым углеродсодержащим материалам с наименьшими значениями диэлектрической постоянной.

Благодарности

Автор выражает благодарность Александру Турсуновичу Рахимову за чуткое научное руководство и конструктивные замечания по работе. Автор признателен Дмитрию Викторовичу Лопаеву и Татьяне Викторовне Рахимовой за помощь при выполнении работы и получение возможности представить ее результаты на российских и международных конференциях. Автор также благодарен сотрудникам отдела микроэлектроники НИИЯФ МГУ Олегу Владимировичу Брагинскому, Анне Николаевне Васильевой, Дмитрию Григорьевичу Волошину, Александру Сергеевичу Ковалеву, Евдокиму Михайловичу Малыхину, Юрию Александровичу Манкелевичу, Ольге Вячеславовне Прошиной, Михаилу Аркадьевичу Тимофееву, а также сотруднику IMEC Михаилу Родионовичу Бакланову, при непосредственном участии которых получены результаты, представленные в работе.

Заключение

 
Список источников диссертации и автореферата по физике, кандидата физико-математических наук, Зырянов, Сергей Михайлович, Москва

1. Moore G. E. Cramming more components onto integrated circuits // Electronics Magazine. 1965.-April. Vol. 38, no. 8.

2. International Technology Roadmap for Semiconductors. 2001.

3. Ландау Л. Д., Лифшиц Е. М. Теоретическая физика. 4 изд. Москва: Физматлит, 2003. Т. 8.

4. Ландау Л. Д., Лифшиц Е. М. Теоретическая физика. 6 изд. Москва: Физматлит, 2004. Т. 3.

5. Hrubesh L. W., Keene L. Е., Latorre V. R. Dielectric properties of aerogels // Journal of Materials Research. 1993. Vol. 8, no. 7. Pp. 1736-1741.

6. Maex K., Baklanov M. R., Shamiryan D., Iacopi F., Brongersma S. H., Yanovitskaya Z. S. Low dielectric constant materials for microelectronics // Journal of Applied Physics. 2003. Vol. 93, no. II. Pp. 8793-8841.

7. Suzuki T. Bandgap anomaly, atomic ordering, and their applications // MRS Bulletin. 1997. Vol. 22, no. 7. Pp. 33-37.

8. Ryan E. Т., McKerrow A. J., Leu J. P., Ho P. S. Materials Issues and Characterization of Low-k Materials for Submicron Interconnect Applications // MRS Bulletin. 1997. Vol. 22, no. 10. Pp. 49-54.

9. Grill A. Amorphous carbon based materials as the interconnect dielectric in ULSI chips // Diamond and Related Materials. 2001. Vol. 10, no. 2. Pp. 234-239.

10. Grill A. Electrical and optical properties of diamond-like carbon // Thin Solid Films. 1999. Vol. 355-356.

11. Yang H., Tweet D. J., Ma Y., Nguyen T. Deposition of highly crosslinked fluorinated amorphous carbon film and structural evolution during thermal annealing // Applied Physics Letters. 1998. Vol. 73, no. 11. Pp. 1514-1516.

12. Yi J. W., Lee Y. H., Farouk B. Low dielectric fluorinated amorphous carbon thin films grown from C6F6 and Ar plasma // Thin Solid Films. 2000. Vol. 374, no. 1. Pp. 103-108.

13. Baklanov M. R., Kondoh E., Lin E. K, Gidley D. W., Lee H. J., Mogilnikov K. P., Sun J. N. Comparative study of porous SOG films with different non-destructive instrumentation //

14. Proceedings of the IEEE 2001 International Interconnect Technology Conference. 2001. Pp. 189-191.

15. Schtith F., Schmidt W. Microporous and Mesoporous Materials // Advanced Materials. 2002. Vol. 14, no. 9. Pp. 629-638.

16. Mintova S., Olson N. H., Valtchev V., Bein T. Mechanism of Zeolite A Nanocrystal Growth from Colloids at Room Temperature // Science. 1999. — February. Vol. 283, no. 5404. Pp. 958-960.

17. Fan H., Bentley H. R., Kathan K. R., Clem P., Lu Y., Brinker C. J. Self-assembled aerogel-like low dielectric constant films // Journal of Non-Crystalline Solids. 2001.— June. Vol. 285. Pp. 79-83.

18. Brinker C. J., Sehgal R., Hietala S. L., Deshpande R., Smith D. M., Loy D., Ashley C. S. Sol-gel strategies for controlled porosity inorganic materials // Journal of Membrane Science. 1994. — September. Vol. 94, no. 1. Pp. 85-102.

19. Lu Y., Cao G., Kale R. P., Prabakar S., Lopez G. P., Brinker C. J. Microporous Silica Prepared by Organic Templating: Relationship between the Molecular Template and Pore Structure // Chemistry of Materials. 1999, —May. Vol. 11, no. 5. Pp. 1223-1229.

20. Petkov M. P., Weber M. H., Lynn K. G., Rodbell K. P. Porosity characterization by beam-based three-photon positron annihilation spectroscopy // Applied Physics Letters. 2001. Vol. 79, no. 23. Pp. 3884-3886.

21. Hedrick J. L., Miller R. D., Hawker C. J., Carter K. R., Volksen W., Yoon D. Y., Trollses M. Templating Nanoporosity in Thin-Film Dielectric Insulators // Advanced Materials. 1998. Vol. 10, no. 13. Pp. 1049-1053.

22. Chung K., Zhang S. U.S. Patent No. 6,313,045, 2001.

23. Sivaram S. Chemical Vapor Deposition. New York: McGraw-Hill, 1995.

24. Baklanov M. R., Vasilyeva L. L. Composition, structure and modification of passivat-ing films on semiconductors deposited at low temperatures // Materials Science Forum. Vol. 185-188. 1995. Pp. 65-71.

25. Baklanov M. R., Vasilyeva L. L., Gavrilova T. A., Dultsev F. N., Mogilnikov K. P., Nena-sheva L. A. Porous structure of Si02 films synthesized at low temperature and pressure // Thin Solid Films. 1989.—April. Vol. 171, no. 1. Pp. 43-52.

26. Dultsev F. N., Nenasheva L. A., Vasilyeva L. L. Irregular Surface and Porous Structure of Si02 Films Deposited at Low Temperature and Low Pressure // Journal of The Electrochemical Society. 1998. Vol. 145, no. 7. Pp. 2569-2572.

27. Homma T. Low dielectric constant materials and methods for interlayer dielectric films in ultralarge-scale integrated circuit multilevel interconnections // Materials Science and Engineering: R: Reports. 1998. — September. Vol. 23, no. 6. Pp. 243-285.

28. Usami Т., Shimokawa K., Yoshimaru M. Low Dielectric Constant Interlayer Using Fluorine-Doped Silicon Oxide // Japanese Journal of Applied Physics. 1994. Vol. 33. Pp. 408-412.

29. Yoo W. S., Swope R., Mordo D. Plasma Enhanced Chemical Vapor Deposition and Characterization of Fluorine Doped Silicon Dioxide Films // Japanese Journal of Applied Physics. 1997. Vol. 36. Pp. 267-275.

30. Lee S. M., Park M., Park К. C., Bark J. Т., Jang J. Low Dielectric Constant Fluorinated Oxide Films Prepared by Remote Plasma Chemical Vapor Deposition // Japanese Journal of Applied Physics. 1996. Vol. 35. Pp. 1579-1582.

31. Kudo H., Shinohara R., Takeishi S., Awaji N., Yamada M. Densified SiOF Film Formation for Preventing Water Absorption // Japanese Journal of Applied Physics. 1996. Vol. 35. Pp. 1583-1587.

32. Homma T. Properties of Fluorinated Silicon Oxide Films Formed Using Fluorotriethoxysi-lane for Interlayer Dielectrics in Multilevel Interconnections // Journal of The Electrochemical Society. 1996. Vol. 143, no. 3. Pp. 1084-1087.

33. Loboda M. J., Seifferly J. A., Grove С. M., Schneider R. F. Safe Precursor Gas for Broad Replacement of SiH4 in Plasma Processes Employed in Integrated Circuit Production. 1997. Vol. 447. Pp. 145-151.

34. Loboda M. J. New solutions for intermetal dielectrics using trimethylsilane-based PECVD processes // Microelectronic Engineering. 2000. — January. Vol. 50. Pp. 15-23.

35. Park J. M., Rhee S. W. Remote Plasma-Enhanced Chemical Vapor Deposition of Nanoporous Low-Dielectric Constant SiCOH Films Using Vinyltrimethylsilane // Journal of The Electrochemical Society. 2002. Vol. 149, no. 8. Pp. F92-F97.

36. Lewis H. G., Edell D. J., Gleason К. K. Pulsed-PECVD Films from Hexamethylcy-clotrisiloxane for Use as Insulating Biomaterials // Chemistry of Materials. 2000. — November. Vol. 12, no. 11. Pp. 3488-3494.

37. Grill A. From tribological coatings to low-k dielectrics for ULSI interconnects // Thin Solid Films. 2001.— November. Vol. 398-399. Pp. 527-532.

38. Grill A., Patel V. Ultralow-k dielectrics prepared by plasma-enhanced chemical vapor deposition // Applied Physics Letters. 2001. Vol. 79, no. 6. Pp. 803-805.

39. Shamiryan D. G., Baklanov M. R., Vanhaelemeersch S., Maex K. Controllable Change of Porosity of 3-Methylsilane Low-k Dielectric Film // Electrochemical and Solid-State Letters. 2001. Vol. 4, no. 1. Pp. F3-F5.

40. Stucchi M., Maex К. Frequency dependence in interline capacitance measurements // IEEE Transactions on Instrumentation and Measurement. 2002. — June. Vol. 51, no. 3. Pp. 537-543.

41. Gidley D. W., Frieze W. E., Dull T. L., Sun J. N., Yee A. F. Probing Pore Characteristics in Low-K Thin Films Using Positronium Annihilation Lifetime Spectroscopy. 2000. Vol. 612. Pp. D4.3-D4.4.

42. Gidley D. W., Frieze W. E., Dull T. L., Sun J., Yee A. F., Nguyen С. V., Yoon D. Y. Determination of pore-size distribution in low-dielectric thin films // Applied Physics Letters. 2000. Vol. 76, no. 10. Pp. 1282-1284.

43. Lee S. Т., Wang N., Zhang Y. F., Tang Y. H. Oxide-assisted semiconductor nanowire growth // MRS Bulletin. 1999. Vol. 24, no. 8. Pp. 36-42.

44. Gregg S. J., Sing S. W. Adsorption, Surface Area and Porosity. 2 edition. New York: Academic, 1982.

45. Baklanov M. R., Mogilnikov K. P. Characterisation of Low-K Dielectric Films by Ellipso-inetric Porosimetry // MRS Proceedings. Vol. 612. 2000. P. D4.2.

46. Baklanov M. R., Mogilnikov K. P. Non-destructive characterisation of porous low-k dielectric films // Microelectronic Engineering. 2002. — October. Vol. 64. Pp. 335-349.

47. Horvath G., Kawazoe K. Method for the calculation of effective pore size distribution in molecular sieve carbon // Journal of Chemical Engineering of Japan. 1983. Vol. 16, no. 6. Pp. 470-475.

48. Saito A., Foley H. C. Curvature and parametric sensitivity in models for adsorption in micropores // AIChE Journal. 1991. Vol. 37, no. 3. Pp. 429-436.

49. Dultsev F. N., Baklanov M. R. Nondestructive Determination of Pore Size Distribution in Thin Films Deposited on Solid Substrates // Electrochemical and Solid-State Letters. 1999. Vol. 2, no. 4. Pp. 192-194.

50. VanLandingham M. R., Villarrubia J. S., Guthrie W. F., Meyers G. F. Nanoindentation of polymers: an overview // Macromolecular Symposia. 2001. Vol. 167, no. 1. Pp. 15-44.

51. Hillebrands B. Progress in multipass tandem Fabry-Perot interferometry: I. A fully automated, easy to use, self-aligning spectrometer with increased stability and flexibility // Review of Scientific Instruments. 1999. Vol. 70, no. 3. Pp. 1589-1598.

52. Mogilnikov K. P., Baklanov M. R. Determination of Young's Modulus of Porous Low-k Films by Ellipsometric Porosimetry // Electrochemical and Solid-State Letters. 2002. Vol. 5, no. 12. Pp. F29-F31.

53. Yokota K., Kitagawa Т., Yamamoto D., Nakamura K., Miyashita F. Luminescence from hydrogenated amorphous silicon treated in microwave hydrogen plasma, KOH solution, and oxygen atmosphere // Thin Solid Films. 1999. — April. Vol. 343-344. Pp. 191-194.

54. Bodo P., Sundgren J. E. Adhesion of evaporated titanium films to ion-bombarded polyethylene // Journal of Applied Physics. 1986. Vol. 60, no. 3. Pp. 1161-1168.

55. Loh R. L., Rossington C., Evans A. G. Laser Technique for Evaluating Spall Resistance of Brittle Coatings // Journal of the American Ceramic Society. 1986. Vol. 69, no. 2. Pp. 139-142.

56. Chalker P. R., Bull S. J., Rickerby D. S. A review of the methods for the evaluation of coating-substrate adhesion // Materials Science and Engineering: A. 1991. — July. Vol. 140. Pp. 583-592.

57. Lane M., Dauskardt R. H., Vainchtein A., Gao H. Plasticity contributions to interface adhesion in thin-film interconnect structures // Journal of Materials Research. 2000. — December. Vol. 15, no. 12. Pp. 2758-2769.

58. Lau S. H., Tolentino E., Lim Y., Tolentino E., Koo A. Advanced metrology for rapid characterization of the thermal mechanical properties of low-k dielectric and copper thin films // Journal of Electronic Materials. 2001. Vol. 30, no. 4. Pp. 299-303.

59. Loboda M. J., Grove С. M., Schneider R. F. Properties of a-SiOx:H Thin Films Deposited from Hydrogen Silsesquioxane Resins // Journal of The Electrochemical Society. 1998. Vol. 145, no. 8. Pp. 2861-2866.

60. Albrecht M. G., Blanchette C. Materials Issues with Thin Film Hydrogen Silsesquioxane Low К Dielectrics // Journal of The Electrochemical Society. 1998. Vol. 145, no. 11. Pp. 4019-4025.

61. Belot V., Corriu R., Leclercq D., Mutin P. H., Vioux A. Thermal reactivity of hy-drogenosilsesquioxane gels // Chemistry of Materials. 1991. Vol. 3, no. 1. Pp. 127-131.

62. Furusawa Т., Ryuzaki D., Yoneyama R., Homma Y., Hinode K. Heat and Moisture Resistance of Siloxane-Based Low-Dielectric-Constant Materials // Journal of The Electrochemical Society. 2001. Vol. 148, no. 9. Pp. F175-F179.

63. Proost J., Kondoh E., Vereccke G., Heyns M., Maex K. Critical role of degassing for hot aluminum filling // Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures. 1998. Vol. 16, no. 4. Pp. 2091-2098.

64. Sugahara S., Kadoya Т., Usami K.-i., Hattori Т., Matsumura M. Preparation and Characterization of Low-k Silica Film Incorporated with Methylene Groups // Journal of The Electrochemical Society. 2001. Vol. 148, no. 6. Pp. F120-F126.

65. Martin S. J., Godschalx J. P., Mills M. E., Shaffer E. O., Townsend P. H. Development of a Low-Dielectric-Constant Polymer for the Fabrication of Integrated Circuit Interconnect // Advanced Materials. 2000. Vol. 12, no. 23. Pp. 1769-1778.

66. Cahill D. G., Fischer H. E., Klitsner Т., Swartz E. Т., Pohl R. O. Thermal conductivity of thin films: Measurements and understanding // Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films. 1989. Vol. 7, no. 3. Pp. 1259-1266.

67. Costescu R. M., Bullen A. J., Matamis G., O'Hara К. E., Cahill D. G. Thermal conductivity and sound velocities of hydrogen-silsesquioxane low-k dielectrics // Physical Review B. 2002. — February. Vol. 65, no. 9. P. 094205.

68. Ни C., Morgen M., Ho P. S., Jain A., Gill W. N. Plawsky J. L., Wayner P. C. Thermal conductivity study of porous low-k dielectric materials // Applied Physics Letters. 2000. Vol. 77, no. 1. Pp. 145-147.

69. Morgen M., Ryan E. Т., Zhao J. H., Ни C., TaiheuiCho Т., Ho P. S. Low Dielectric Coonstant Materials for ULSI Interocnnects // Annual Review of Materials Science. 2000. Vol. 30, no. 1. Pp. 645-680.

70. Sugahara S., Usami K.-i., Matsumura M. A Proposed Organic-Silica Film for Inter-Met-aLDielectric Application // Japanese Journal of Applied Physics. 1999. Vol. 38. Pp. 1428-1432.

71. Yasutaka U., Takashi K., Satoshi S., Masakiyo M. Chemical Vapor Deposition Based Preparation on Porous Silica Films // Japanese Journal of Applied Physics. 2000. Vol. 39. Pp. L1155-L1157.

72. Cluzel J., Mondon F., Loquet Y., Morand Y., Reimbold G. Electrical characterization of low permittivity materials for ULSI inter-metal-insulation // Microelectronics Reliability. 2000.-April. Vol. 40. Pp. 675-678.

73. Aoi N., Fukuda Т., Yanazawa H. Evaluation and analysis for mechanical strengths of low к dielectrics by a finite element method // Proceedings of the IEEE 2002 International Interconnect Technology Conference. 2002. Pp. 72-74.

74. Hashin Z., Shtrikman S. A variational approach to the theory of the elastic behaviour of multiphase materials // Journal of the Mechanics and Physics of Solids. 1963. —April. Vol. 11, no. 2. Pp. 127-140.

75. Andideh E., Scherban Т., Sun В., Blaine J., Block C., Jin B. Interfacial adhesion of copper-low к interconnects // Proceedings of the IEEE 2001 International Interconnect Technology Conference. 2001. Pp. 257-259.

76. Kloster G., Scherban Т., Xu G., Blaine J., Sun В., Zhou Y. Porosity effects on low-k dielectric film strength and interfacial adhesion // Proceedings of the IEEE 2002 International Interconnect Technology Conference. 2002. Pp. 242-244.

77. Wu W.-L., Liou H.-C. Study of ultra-thin hydrogen silsesquioxane films using X-ray reflectivity // Thin Solid Films. 1998. — January. Vol. 312. Pp. 73-77.

78. Ito S., Homma Y., Sasaki E., Uchimura S., Morishima H. Application of Surface Reformed Thick Spin-on-Glass to MOS Device Planarization // Journal of The Electrochemical Society. 1990. Vol. 137, no. 4. Pp. 1212-1218.

79. Kondoh E., Asano Т., Arao H., Nakashima A., Komatsu M. Dehydration after Plasma

80. Oxidation of Porous Low-Dielectric-Constant Spin-on-Glass Films // Japanese Journal of Applied Physics. 2000. Vol. 39. Pp. 3919-3923.

81. Kondoh E., Asano Т., Nakashiina A., Komatu M. Effect of oxygen plasma exposure of porous spin-on-glass films // Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures. 2000. Vol. 18, no. 3. Pp. 1276-1280.

82. Kondoh E., Baklanov M. R., Bender H., Maexc K. Structural Change in Porous Silica Thin Film after Plasma Treatment // Electrochemical and Solid-State Letters. 1998. Vol. 1, no. 5. Pp. 224-226.

83. Standaert Т. E. F. M., Matsuo P. J., Allen S. D., Oehrlein G. S., Dalton T. J., Lu Т. M., Gutmann R. High-Density Plasma Etching of Low-Dielectric Constant Materials // MRS Proceedings. Vol. 511. 1998. Pp. 265-275.

84. Wei T.-C., Liu C.-H., Shieh J.-M., Suen S.-C., Dai B.-T. Plasma Treatment and Dry Etch Characteristics of Organic Low-$k$ Dielectrics // Japanese Journal of Applied Physics. 2000. Vol. 39. Pp. 7015-7018.

85. Standaert Т. E. F. M., Joseph E. A., Oehrlein G. S., Jain A., Gill W. N., Wayner P. C., Plawsky J. L. Etching of xerogel in high-density fluorocarbon plasmas // Journal of

86. Vacuum Science & Technology A: Vacuum, Surfaces, and Films. 2000. Vol. 18, no. 6. Pp. 2742-2748.

87. Liu P.-T., Chang T.-C., Yang Y.-L., Cheng Y.-F., Shih F.-Y., Lee J.-K., Tsai E., Sze S. M. Effectively Blocking Copper Diffusion at Low-k Hydrogen Silsesquioxane/Copper Interface // Japanese Journal of Applied Physics. 1999. Vol. 38. Pp. 6247-6252.

88. Liu P.-T., Chang T.-C., Мог Y.-S., Sze S. M. Enhancing the Oxygen Plasma Resistance of Low-k Methylsilsesquioxane by H2 Plasma Treatment // Japanese Journal of Applied Physics. 1999. Vol. 38. Pp. 3482-3486.

89. Furusawa Т., Ryuzaki D., Yoneyama- R., Homma Y., Hinode K. Oxygen Plasma Resistance of Low-k Organosilica Glass Films // Electrochemical and Solid-State Letters. 2001. Vol. 4, no. 3. Pp. G31-G34.

90. Joubert 0., Pelletier J., Fiori C., Nguyen Tan T. A. Surface mechanisms in 02 and SF6 microwave plasma etching of polymers // Journal of Applied Physics. 1990. Vol. 67, no. 9. Pp. 4291-4296.

91. Joubert 0., Fiori C., Oberlin J. C., Paniez P., Pelletier J., Pons M., Vachette Т., Weill A. Resist degradation under plasma exposure: Synergistic effects of ion bombardment // Journal of Applied Physics. 1991. Vol. 69, no. 3. Pp. 1697-1702.

92. Kim S. H., Moon H. S., Woo S. G., Ahn J. Study on the Properties of Interlayer Low Dielectric Polyimide during Cl-Based Plasma Etching of Aluminum // Japanese Journal of Applied Physics. 1999. Vol. 38. Pp. 7122-7125.

93. Rogers С. E. Polymer Permeability, Ed. by J. Comyn. Amsterdam: Elsevier, 1985. Pp. 11-73.

94. Ritala M., Leskela M. Handbook of Thin Film Materials, Ed. by H. S. Nalwa. New York: Academic, 2002. Vol. 1. Pp. 103-159.

95. Shamiryan D., Baklanov M. R., Tokei Z., Iacopi F., Maex K. Evaluation of Ta(N) diffusion barrier integrity on porous low-k films // Advanced Metallization Conference 2002. 2002. Pp. 279-285.

96. Iacopi F., Tokei Z., Shamiryan D., Le T. Q., Malhouitre S., Van Hove M., Maex K. Overcoming integrity issues of I-PVD deposited Ta(N) barriers on inorganic porous low-k's // Advanced Metallization Conference 2002. 2002. Pp. 61-66.

97. Ryan E. Т., Martin J., Junker K., Lee J. J., Guenther Т., Wetzel J., Lin S., Gidley D. W., Sun J. Integration damage in organosilicate glass films // Proceedings of the IEEE 2002 International Interconnect Technology Conference. 2002. Pp. 27-29.

98. Grill A., Patel V. The Effect of Plasma Chemistry on the Damage Induced to Porous SiCOH Dielectrics // Journal of The Electrochemical Society. 2006. Vol. 153, no. 8. Pp. F169-F175.

99. Fuller N. С. M., Worsley M. A., Tai L., Bent S., Labelle C., Arnold J., Dalton T. Plasma ash processing solutions for advanced interconnect technology // Thin Solid Films. 2008. — April. Vol. 516, no. 11. Pp. 3558-3563.

100. Kovalev A. S., Lopaev D. V., Mankelevich Y. A., Popov N. A., Rakhimova Т. V., Poroykov A. Y., Carroll D. L. Kinetics of 02blSigma in oxygen RF discharges // Journal of Physics D: Applied Physics. 2005.— July. Vol. 38, no. 14. Pp. 2360-2370.

101. Cartry G., Magne L., Cernogora G. Atomic oxygen recombination on fused silica: experimental evidence of the surface state influence // Journal of Physics D: Applied Physics. 1999. Vol. 32, no. 15. Pp. L53-L56.

102. Kim Y. C., Boudart M. Recombination of oxygen, nitrogen, and hydrogen atoms on silica: kinetics and mechanism // Langmuir. 1991. — December. Vol. 7, no. 12. Pp. 2999-3005.