Численное моделирование формирования изображения в проекционной фотолитографии тема автореферата и диссертации по физике, 01.04.03 ВАК РФ

Рыжикова, Юлия Владимировна АВТОР
кандидата физико-математических наук УЧЕНАЯ СТЕПЕНЬ
Москва МЕСТО ЗАЩИТЫ
2008 ГОД ЗАЩИТЫ
   
01.04.03 КОД ВАК РФ
Диссертация по физике на тему «Численное моделирование формирования изображения в проекционной фотолитографии»
 
Автореферат диссертации на тему "Численное моделирование формирования изображения в проекционной фотолитографии"

МОСКОВСКИЙ ГОСУДАРСТВЕННЫЙ УНИВЕРСИТЕТ им. М.В.ЛОМОНОСОВА

Физический факультет

На правах рукописи УДК 535. 31

Рыжикова Юлия Владимировна

ЧИСЛЕННОЕ МОДЕЛИРОВАНИЕ ФОРМИРОВАНИЯ ИЗОБРАЖЕНИЯ В ПРОЕКЦИОННОЙ ФОТОЛИТОГРАФИИ

Специальность: 01.04.03 - радиофизика

АВТОРЕФЕРАТ диссертации на соискание учёной степени кандидата физико-математических наук

Москва -2008

003450577

Работа выполнена на кафедре физики колебаний физического факультета Московского государственного университета им. М.В. Ломоносова

Научный руководитель: кандидат физико-математических наук,

доцент Г. В. Белокопытов

Официальные оппоненты:

доктор физико-математических наук, доцент А. И. Федосеев кандидат физико-математических наук, с. н. с. В. А. Злобин

Ведущая организация:

Физико-технологический институт РАН

Защита состоится «20» ноября 2008 года в 16 часов на заседании диссертационного совета Д 501.001.67 в Московском государственном университете им. М.В. Ломоносова по адресу: 119992, ГСП-2, г. Москва, Воробьевы горы, МГУ им. М.В. Ломоносова, физический факультет, аудитория им. Р. В. Хохлова

С диссертацией можно ознакомиться в библиотеке физического факультета МГУ имени М.В. Ломоносова

Автореферат разослан октября 2008 года

Общая характеристика работы

Актуальность темы исследования

Задача о формировании изображения в оптической системе в настоящее время является актуальной в связи с развитием микроэлектронной технологии, где для получения структуры интегральных полупроводниковых микросхем широко используется оптическая литография (фотолитография). Литографический процесс определяет минимальный (критический) размер элементов на полупроводниковом кристалле и степень интеграции микросхемы, а значит ее размеры и быстродействие при эксплуатации. В серийном производстве интегральных схем в основном используется проекционная фотолитография.

Моделирование фотолитографических процессов широко используется для оптимизации процессов в полупроводниковом производстве, а также с целью изучения новых методик получения высокого разрешения, поиска наилучших конфигураций фазосдвигающих масок при заданных фиксированных параметрах (длине волны Я, числовой апертуре NA, степени когерентности а). С помощью численного моделирования процесса формирования изображения в фотолитографии можно осуществлять оптимальный выбор режима работы, то есть такого режима освещения, параметров оптической системы и типов фотошаблонов (бинарных, фазовых, фазово-растровых), которые обеспечат наилучшее качество изображения.

Применение фазовых масок позволяет улучшить характеристики изображения, такие как оптический контраст и пространственное разрешение. Несколько лет назад были предложены фазово-растровые маски (ФРМ)1. Они позволяют получить любое физически допустимое распределение эффективного коэффициента пропускания от координат Можно ожидать, что такие маски позволят обеспечить высокий контраст (не хуже, чем двухфазные маски со сдвигом фазы на к) и в то же время обеспечат снятие проблемы конфликта фаз.

Современная фотолитография обеспечивает воспроизведение изображений, критические размеры которых существенно меньше длины волны Я источника освещения. Это возможно благодаря созданию современных проекционных систем, практически не имеющих аберраций и изготовлению масок-фотошаблонов, в которых скомпенсированы дифракционные искажения.

'Aleshin S.V., Belokopitov G.V., Scepanovic R. Mask having an arbitrary complex transmission function. // US Patent No : 6,197,456 В1 CI. 430/5. Mar 6. 2001.

Изготовление масок является прецизионным и весьма трудоемким процессом, вклад которого в стоимость изделий интегральной электроники весьма высок. Ввиду этого, большой интерес вызывают возможности оптической литографии без использования масок, где роль динамического фотошаблона играет перестраиваемый пространственный модулятор света. В литографии без маски исходный объект, образ которого получается в плоскости изображения, формируется в результате отражения плоской монохроматической волны от пространственного модулятора света, в частности представляющего собой регулярную систему электрически управляемых микрозеркал. Такая зеркальная структура по существу представляет собой дифракционную решетку, составленную из дискретных элементов. Прикладывая электрическое поле к системе электродов зеркал, можно менять их ориентацию и тем самым управлять отражением света от некоторой площадки.

В данной диссертационной работе с помощью численного моделирования исследовано формирование «воздушного» изображения (т.е. сформированного на поверхности светочувствительного слоя - фоторезиста) для различных типов фотошаблонов (бинарных, фазовых, фазово-растровых) и их аналога, пространственного модулятора света, составленного из поворотных микрозеркал при изменении параметров проекционной системы и источника освещения в скалярном приближении теории дифракции.

Цели диссертационной работы

Цели диссертационной работы состояли в исследовании формирования изображения в проекционной оптической литографии в рамках скалярной теории дифракции с учетом частичной когерентности источника света и дифракционной ограниченности оптической системы с помощью численного моделирования.

В диссертационной работе были поставлены следующие задачи:

1. Реализовать модель формирования оптического изображения в проекционной фотолитографии в виде пакета исследовательских программ.

2. Исследовать изображения тестовых объектов, даваемые различными типами масок (бинарными, фазовыми и фазово-растровыми), а также проанализировать зависимости критических размеров от численной апертуры и параметра когерентности для бинарных и фазовых масок.

3 Разработать алгоритм синтеза фазово-растровых масок (ФРМ), которые являются развитием фазовых масок и основаны на дискретизации функции пропускания и разложении отсчетов на три фазовые составляющие.

4 Провести исследование задачи о нахождении распределения поля волны, отраженной от поворотных зеркал при когерентном и частично-когерентном освещении.

Научная новизна работы

1. Впервые произведено численное моделирование формирования изображений, даваемых фазово-растровыми масками (ФРМ). Разработан алгоритм синтеза фазово-растровых масок, позволяющих получить произвольное распределение комплексной функции пропускания маски В качестве исходного приближения для построения ФРМ предложено использовать свойство локальности - в пределе малых размеров отверстий отсчет функции пропускания в данной точке маски определяется положением ближайшего отверстия в растре.

2. Разработан алгоритм расчета оптических изображений в литографической системе с пространственным модулятором света (ПМС). В основу алгоритма положено спектральное представление скалярной волновой функции, описывающей распределение поля волны отраженной от поворотного микрозеркала.

3 Получена простая аналитическая формула для спектра пространственных гармоник дифрагированного поля в случае, когда граница объекта (на маске или ее аналоге) задается в виде произвольного многоугольника. Формула пригодна как для бинарных, так и для фазовых и фазово-растровых масок, а так же для масок с линейной зависимостью фазы от пространственных координат, что имеет место при формировании изображения системы поворотных микрозеркал.

Научная и практическая значимость работы

1. Разработан пакет исследовательских программ в среде МАТЪАВ для моделирования формирования изображения в проекционной оптической литографии, который может использоваться как для выбора оптимальных характеристик фотолитографической установки, так и для исследования новых методик получения высокого разрешения. Особенностью разработанного программного пакета является использование спектрального подхода к расчету распределения интенсивности света при частично-когерентном освещении, в основу которого положена полученная формула для спектра

пространственных гармоник дифрагированного поля в случае, когда граница объекта (на маске или ее аналоге) задается в виде произвольного многоугольника.

2. Рассмотрен подход к синтезу фазово-растровых масок для оптической литографии, основанный на дискретизации изображения и разложении отсчетов на три фазовые составляющие, заданные на специальном растре, который может быть использован для многих приложений оптической обработки информации, в частности, в голографии.

Основные положения, выносимые на защиту

1. Математическая модель формирования изображения в проекционной фотолитографии с бинарными, фазовыми и фазово-растровыми масками, а также их аналога перестраиваемого пространственного модулятора света, представляющего собой регулярную систему электрически управляемых микрозеркал, в основу которой положены аналитические соотношения скалярной теории дифракции с учетом частичной когерентности источника света и дифракционной ограниченности оптической системы, адаптированная к эффективной численной реализации.

2. Разработанные алгоритм и программы расчёта оптического изображения в проекционной фотолитографии с масками (бинарными, фазовыми и фазово-растровыми) или их динамическим аналогом пространственным модулятором света.

3. Разработанная методика оценки критических размеров элементов изображения путём численного моделирования и результаты исследования изображений тестовых объектов, даваемые различными типами масок (бинарными, фазовыми и фазово-растровыми)

4. Разработанные алгоритм и программа синтеза фазово-растровых масок, позволяющих получить маски с произвольно заданными функциями пропускания.

Достоверность полученных результатов подтверждается: физической обоснованностью используемых моделей, многократной проверкой программ тестовыми задачами, а также соответствием полученных результатов известным литературным данным.

Апробация работы

Результаты работы докладывались на следующих Всероссийских и Международных конференциях:

- Молодежная научная конференция «Физика и прогресс», Санкт-Петербург, 2005 г.

- 13-я международная конференция студентов, аспирантов и молодых ученых по фундаментальным наукам «Ломоносов - 2006», Москва, 2006 г.

- 8-я международная конференция «Оггго-, наноэлектроника, нанотехнологии и микросистемы», Ульяновск, 2006 г.

- 7-я международная конференция «Прикладная оптика», Санкт-Петербург, 2006 г.

- 10-я и 11-я Всероссийская школа-семинар «Волновые явления в неоднородных средах», Звенигород, 2006 и 2008 гг.

- 11-я Всероссийская школа-семинар «Физика и применение микроволн», Звенигород, 2007 г.

Кроме того, результаты исследований докладывались и обсуждались на научных семинарах кафедры физики колебаний физического факультета МГУ. По материалам диссертации опубликованы работы, приведённые ниже.

Структура и объем диссертации

Диссертация состоит из введения, пяти глав, заключения и списка использованной литературы. Общий объем работы составляет 135 страниц. Диссертация включает 39 рисунков и 10 таблиц. Библиография содержит 97 наименований, в том числе 11 авторских публикаций.

Содержание работы

Во введении содержится обоснование актуальности темы исследований, излагаются цели диссертационной работы, приводится краткое содержание работы, отмечается научная новизна и практическая значимость проведённых исследований, сформулированы основные положения, выносимые на защиту, а также приводятся сведения об апробации результатов работы.

В первой главе диссертационной работы проводится анализ литературы, посвященной физическим основам технологии оптической проекционной фотолитографии с использованием масок (фотошаблонов) и их динамических перестраиваемых аналогов Выявляются основные проблемы проекционной фотолитографии и анализируются различные альтернативные системы литографии без масок, а также приводится обобщенная схема проекционной оптической литографии. Анализ литературы показал, что оптическая

литография без маски имеет ряд потенциальных достоинств. В частности, за счет дискретного задания дифракционной решетки из микрозеркал и поэлементного сканирования изображения в литографии с пространственным модулятором света (ПМС), составленного из поворотных микрозеркал можно достичь больших уменьшений изображения (Л/ = 200-267). Вместе с тем, оптимистические перспективы, которые открывает литография без масок, нуждаются в дальнейшей глубокой теоретической проработке и численном моделировании.

Вторая глава посвящена теоретическому исследованию прямой задачи о формировании изображения в проекционной фотолитографии, которая состоит в отыскании распределения интенсивности света 1(х',у') в плоскости изображения по известной функции пропускания фотошаблона (маски) , ), при заданных условиях освещения и

параметрах проекционной системы. В настоящей главе был использован спектральный подход к расчету интенсивности света в рамках скалярной теории дифракции:

И*.?) = {] •$■>>;) Г (V, - -ОЛ-К,^)} г , (1)

где Р"1 - обратное преобразование Фурье, {у[Уу) и (ух,у) - компоненты пространственных частот в объектной плоскости и в плоскости изображения соответственно. Величины -у') и (^,) - спектры функций взаимной интенсивности,

функции пропускания и когерентного импульсного отклика.

В данной работе мы ограничились рассмотрением скалярной модели дифракции, поскольку она позволяет без излишних усложнений исследовать вопросы о влиянии дифракционной ограниченности проекционной системы и частичной когерентности источника света на критические размеры, а также изучить особенности формирования оптического отклика для масок различных типов.

При численном моделировании формирования изображения функции 5а и фиксированы и имеют, как правило, простой вид, так что скорость и точность вычисления интенсивности лимитируется главным образом тем, как определен спектр функции пропускания . В данной работе была получена формула для спектра функции пропускания произвольного Л'-многоуголышка, которая является обобщением известных соотношений для прямоугольных и треугольных отверстий, задаваемых в области маски:

где /, = + кпУу), /ЧЧ1 = Если кп->ю (вертикальные отрезки) то предельный

переход в (2) не представляет труда.

Приведенное во второй главе аналитическое исследование задачи о формировании «воздушного» фотолитографического изображения может быть положено в основу построения алгоритма для численного моделирования. Отметим, что описанный подход к расчету изображений не требует разложения элементов маски на простейшие (прямоугольники и треугольники) составляющие, что позволяет радикально упростить геометрический анализ и сократить объем вычислений.

В третьей главе описан алгоритм, положенный в основу численного моделирования формирования изображения в проекционной фотолитографии, который позволяет обеспечить высокую точность задания элементов конфигурации маски. Путем численного моделирования произведено сравнение характеристик изображений (пространственного разрешения и оптического контраста), создаваемых некоторыми типичными масками Кроме того, рассмотрена методика оценки критических размеров элементов изображения и получены зависимости критических размеров от численной апертуры и параметра когерентности для различных тестовых масок. Для оценки критических размеров предлагается использовать следующий критерий удовлетворительного воспроизведения изображения:

где и»' - характерный размер элемента в плоскости изображения но уровню интенсивности /0/3, а Ди'4 = »'(/„ ±10%)-1с'(/о). Иными словами, в качестве критического размера принимается такой размер элемента, который воспроизводится с погрешностью, не превышающей 10%, при изменении экспонирующей интенсивности на 10% При наличии технологической необходимости, численные значения допусков могут быть изменены.

Определение критических размеров, приведенных к плоскости маски и к плоскости изображения производилось по диаграммам нестабильности изображения: ¿^(те") и ¿Уи',(и'') для набора тестовых конфигураций фотошаблонов - простейших элементов контурного рисунка (квадрата, одинарной полоски и двух параллельных бинарных и фазовых

|5и>'

(3)

полосок со сдвигом фаз на к и на ±2к / 3). Именно такой набор простых типовых элементов является основой для создания современных многоэлементных интегральных схем.

Для каждого элемента контурного рисунка определялся свой критический размер -измеряемый параметр, так для квадрата таким параметром является его сторона, для одинарной полоски - ее ширина, а для пары полосок - расстояние между ними. В качестве примера на рис. 1 приведены диаграммы нестабильности изображения Sw'(w') для тестовых бинарной и фазовой маски со сдвигом фазы на тг, состоящих из пары полосок, шириной d = 200 нм и длиной I = 2000 нм Пунктиром обозначены линии, по которым определялся критический размер. Расчеты были проведены для круглого источника освещения на рабочей длине волны X - 248 нм при численной апертуре проекционного объектива NA = 0.6 и параметре когерентности а = 0.5 .

V' ,нм

Рис. 1. Кривые нестабильности для бинарной (кривые 1) и фазовой (кривые 2) маски, как функции координат на изображении.

В результате проведенного численного моделирования с использованием указанного метода обработки диаграмм нестабильности изображения (рис. 1), были получены следующие критические размеры для исследуемых простейших элементов контурного рисунка (таблица 1).

Наибольший интерес представляет двухфазная маска, состоящая из пары полосок с фазами 0 и л. Маска с противофазными коэффициентами пропускания может обеспечить

наибольший контраст изображения за счет деструктивной интерференции света, прошедшего через соседние области с противофазными коэффициентами пропускания В результате противофазной интерференции света, пара полосок с фазовым сдвигом к оказывается разрешимой даже при плотном контакте на маске (нулевым расстоянием между полосками). Для такой фазовой конфигурации маски минимальное расстояние между полосками в области изображения составляет 137 нм (при ширине полосок, заданных на маске 200 нм).

Таблица I. Критические размеры простейших элементов Koirrypnoro рисунка.

Элемент контурного рисунка Измеряемый параметр Критические размеры, нм

В области изображения В области маски

Квадрат сторона 191 235

Полоска ширина 163 166

Пара полосок расстояние между полосками 161 167

Пара полосок с фазами 0 и л- 137 —

Пара полосок с фазами 0 и ±2л73 116 108

Согласно приведенным результатам (табл. 1), критические размеры для различных элементов изображения (минимальной ширины полосок, минимального расстояния между ними, минимального размера контактной площадки) существенно отличаются. Соответственно, критический размер, который указывают в качестве основного параметра литографической технологии, следует рассматривать как ориентировочную, усредненную величину.

В четвертой главе рассмотрен подход к созданию фазово-растровых масок (ФРМ) для оптической литографии (рис. 2), основанный на дискретизации изображения и разложении отсчетов на три фазовые составляющие.

Благодаря ограничению оптической системой спектра пространственных частот, участвующих в формировании оптического изображения, можно обеспечить синтез функции пропускания F(x",y"), задав систему отсчётов на сетке (растре), узлы которой располагаются в точках плоскости маски, удовлетворяющих теореме Котелышкова (отсчётов).

1 2 3

Ф=0 2% <р = — 3 ; 2к ф=~ . 3

Рис. 2. а) Система отверстий в ФРМ: 1) Г = 0 ; 2) р = 1 3) Р = -

Я

б) сдвинутое отверстие.

В окрестностях точек отсчёта создаётся система идентичных отверстий, малых по сравнению с длиной волны Я, которые представляют собой вторичные источники света. Однако, с учётом изменения масштабов, производимого проекционной оптической системой, можно обеспечить реальные размеры отверстий больше Д. Заданная система идентичных отверстий является прообразом геометрии освещенных областей, которые необходимо получить на фоторезисте. Указанные отверстия располагают на стыке трёх областей маски, имеющих разную оптическую толщину. Так, что на рабочей длине волны Я фазовый сдвиг составляет для света, проходящего через области первого типа, фиксированную величину <р, а для света, проходящего через области второго и третьего типа, величину <р±2я73 соответственно. При экспонировании отверстия будут пропускать свет с заданной фазой. Причем амплитуду и фазу вторичных волн можно изменять, сдвигая положения отверстий относительно границ областей с разными оптическими толщинами, таким образом, чтобы коэффициент пропускания маски /Г(л-°,У) в данной точке принимал любое наперёд заданное значение. Применение трехфазного растра для синтеза голограмм было известно достаточно давно. Фазово-растровые маски, рассмотренные в данной работе отличаются от реализованных ранее тем, что: (1) предполагают создание идентичных прозрачных отверстий; (2) положение этих отверстий можно варьировать с высоким пространственным разрешением.

В настоящей главе было показано, что для ФРМ выполняется свойство локальности -отсчет функции пропускания в данной точке маски определяется положением ближайшего отверстия в растре, что позволяет реализовать быстрый алгоритм вычисления значений

функции пропускания Кроме того, исследованы условия эквивалентности непрерывного и растрового задания функции пропускания. А также проведено численное моделирование формирования изображений с помощью синтезированных фазово-растровых масок. Па рис 3 приведен пример синтезированной маски, состоящей из двух колец с зазорами и ее двумерная картина распределения интенсивности. Элементы с такой геометрией (только больших размеров) применяются для создания искусственных сред (композитных материалов) в диапазоне СВЧ. Представляет интерес использование таких частиц и для построения фотонных кристаллов, обладающих резонансной магнитной восприимчивостью в ИК- и видимом диапазонах.

—,—г «ч «-уц г » И-«—г ■ а Дц."

2000 х ,НМ

а) б)

Рис. 3. а) Синтезированная фазово-растровая маска; б) распределение интенсивности

изображения ФРМ.

В пятой главе диссертационной работы исследовалась дифракционная задача о

нахождении поля волны, отраженной от системы поворотных зеркал пространственного

модулятора света. При рассмотрении процесса отражения от одиночного зеркала рассматривалась следующая краевая задача:

| (& + кг)Н = 0 \Н(х,у,г = 0) = Пх,уУ

где /(л:,у) - краевая функция граничной задачи, которая описывает действие зеркала на волну при ее отражении. Причем при повороте плоскости зеркала на угол ф вокруг оси у краевая функция /(х,у) имеет вид:

Не", {х,увО) О, в остальных точках

(5)

где Я- коэффициент отражения зеркала, О - область зеркала, в пределах которой /(х,у)

отлична от нуля и х =

-лпЛсоэс

В данной главе приводится обобщение формулы (2) на случай расчета изображений, формируемых пространственным модулятором света, состоящим из системы многоугольных зеркал, а также представлены результаты численного моделирования формирования изображения на примере двух поворотных микрозеркал. На рис. 4 показано распределение интенсивности 1(х,у) двух поворотных микрозеркал (16х16мкм2), центры которых расположены на расстоянии 20 мкм друг от друга при фиксированном расстоянии г = 0.52 мм . Угол поворота первого зеркала ф =0.3", а второго ф = 0°. Жирной линией на рис. 3 указан контур геометрического изображения площадок поворотных микрозеркал с уменьшением М = 200. Пунктиром обозначен пороговый уровень интенсивности: /|тр = 1т/1Х /3. Расчет был проведен для круглого источника освещения на рабочей длине

волны X = 248 нм.

Рис. 4. Распределение интенсивности двух поворотных микрозеркал при частично когерентном освещении <т = 0.5 и численной апертуре проекционного объектива ЫЛ = 0.5.

Данный рисунок демонстрирует, что при повороте зеркала происходит изменение положения его изображения, хотя координаты зеркала в объектной плоскости остаются неизменными.

Результаты, полученные в пятой главе диссертационной работы, могут быть использованы для решения задач управления изображением в литографии без масок с пространственным модулятором света, состоящих из более сложных систем зеркал.

В заключении сформулированы основные результаты диссертационной работы.

1. Получена простая аналитическая формула для спектра пространственных гармоник дифрагированного поля, в случае когда граница объекта (на маске) задается в виде произвольного многоугольника. Описанный подход к расчету изображений не требует разложения элементов маски на простейшие (прямоугольники и треугольники) составляющие, что позволяет радикально упростить геометрический анализ и сократить объем вычислений. Формула положена в основу расчета изображений в фотолитографии.

2. Разработаны алгоритм и программа расчёта оптического изображения в проекционной оптической литографии, в приближении скалярной теории дифракции с учетом частичной когерентности источника света и дифракционной ограниченности оптической системы.

3. Разработана методика оценки критических размеров элементов изображения путём численного моделирования. Исследованы изображения тестовых объектов, даваемые различными типами масок (бинарными, фазовыми и фазово-растровыми). Изучены зависимости критических размеров от численной апертуры и параметра когерентности для бинарных и фазовых масок. Показано, что двухфазные маски обеспечивают существенно больший контраст, чем бинарные и трехфазные маски.

4. Исследовано формирование изображение в фотолитографии с помощью фазово-растровых масок (ФРМ), которые являются развитием фазовых масок и основаны на дискретизации функции пропускания и разложении отсчетов на три фазовые составляющие. Разработан алгоритм их синтеза, который позволяет получить произвольное распределение функции пропускания маски.

5. Исследованы условия эквивалентности непрерывного и растрового задания функции пропускания. Показано, что для ФРМ, размеры которых много меньше длины волны, выполняется свойство локальности - отсчет функции пропускания в данной точке маски определяется положением ближайшего отверстия в растре. Проведено численное

моделирование и продемонстрировано действие фазово-растровой маски при создании элементов субмикронных размеров на примере двух колец с зазорами.

6. Проведено аналитическое исследование задачи о нахождении распределения поля волны, отраженной от поворотного зеркала в скалярном приближении теории дифракции при когерентном и частично-когерентном освещении. Получены удобные соотношения для реализации алгоритма расчета оптических изображений в литографической системе без масок.

Список публикаций по теме диссертации

1. Короткова Ю В. Фазово-растровые маски для оптической литографии // Тезисы молодежной научной конференции «Физика и прогресс», Санкт-Петербург, 2005, с. Е-03.

2. Короткова Ю.В. Фазово-растровые маски для оптической литографии /I Сборник работ молодежной научной конференции «Физика и прогресс», Санкт-Петербург, 2005, с. 174-177.

3. Короткова Ю.В. Свойство локальности и синтез фазово-растровых масок // Тезисы 13-й Межд. конф. студентов, аспирантов и молодых учёных по фундаментальным наукам «Ломоносов-2006», секция «Физика», Москва, 2006, т. 2, с. 8-10.

4. Белокопытов Г.В., Короткова Ю.В. Способ построения фазово-растровых масок // Труды X Всероссийской школы- семинара «Волновые явления в неоднородных средах», Звенигород, 2006, секция 3, с. 5-7.

5. Белокопытов Г.В., Короткова Ю.В. Построение фазово-растровых масок для фотолитографии // Тезисы VIII Международной конференции Опто-, наноэлектроника, нанотехнологии и микросистемы, Ульяновск, 2006, с 52

6. Белокопытов Г.В., Короткова Ю.В. Контраст и пространственное разрешение фазовых и фазово-растровых масок // Труды VII Международной конференции «Прикладная оптика-2006», Санкт-Петербург, 2006, т. 3, с. 29-33.

7. Белокопытов Г.В., Короткова Ю.В. Фазово-растровые маски и их синтез // Вестник Моск. Ун-та. Серия 3. Физика. Астрон., 2007, №3, с. 44-48.

8. Белокопытов Г.В., Рыжикоеа Ю.В. Сравнение характеристик изображения в проекционной фотолитографии // Труды XI Всероссийской школы- семинара «Физика и применение микроволн», Звенигород, 2007, ч. 2, с. 21-23.

9. Белокопытов Г.В., Рыжикоеа Ю.В. Сравнение характеристик изображения в проекционной фотолитографии // Известия РАН. Серия физическая, 2008, т. 72, №1, с. 88-91.

10. Белокопытов Г.В., Рыжикова Ю.В Фотолитография без маски и расчет изображений, даваемых пространственным модулятором света на MEMOS-структуре // Труды XI Всероссийской школы- семинара «Волновые явления в неоднородных средах», Звенигород, 2008,ч 4, с 11-13.

11. Белокопытов Г. В., Рыжикова Ю. В Дифракция Фраунгофера на многоугольнике и расчет изображений бинарных масок. // Вестник Моск. Ун-та Серия 3. Физика, астрон, 2008 (в печати).

Подписано в печать 15.10.2008 Формат 60x88 1/16. Объем 1.25 п.л. Тираж 150 экз. Заказ № 761 Отпечатано в ООО «Соцветие красок» 119991 г.Москва, Ленинские горы, д. 1 Главное здание МГУ, к. А-102

 
Содержание диссертации автор исследовательской работы: кандидата физико-математических наук, Рыжикова, Юлия Владимировна

Введение.

Глава 1: Проекционная оптическая литография и формирование изображения (обзор литературы).

1.1 Проекционная оптическая литография.

1.2 Маски для оптической литографии.

1.3 Основные проблемы фотолитографии.

1.4 Оптическая литография без маски: фотолитографические системы с пространственным модулятором света.

1.5 Литография без маски на дискретном зеркальном устройстве фирмы Texas Instruments.

1.6 Литография на периодической структуре из зонных пластин.

1.7 Технология производства электрически управляемых микрозеркал (MEMOS).

1.8 Численное моделирование и анализ - прямая и обратная задачи оптической литографии.

1.9 Основные характеристики оптической проекционной литографии.

1.10 Общая схема оптической проекционной литографии.

ВЫВОДЫ.

Глава 2: Формирование изображения при частично-когерентном освещении: аналитическое исследование.

2.1 Постановка задачи о формировании изображения.

2.2 Формирование изображения при частично-когерентном освещении

2.3 Спектр функции пропускания маски.

2.4 Когерентный импульсный отклик оптической системы.

2.5 Пример численного расчета изображения маски.

ВЫВОДЫ.

Глава 3: Сравнение характеристик изображений в проекционной фотолитографии.

3.1 Алгоритм численного моделирования формирования изображения.

3.2 Определение критических размеров элементов изображения.

3.3 Оптический контраст изображения для бинарных и фазовых масок .94 ВЫВОДЫ.

Глава 4: Фазово-растровые маски: способ построения и расчет изображений.

4.1 Введение.

4.2 Построение фазово-растровой маски.

4.3 Результаты численного моделирования.

ВЫВОДЫ.

Глава 5: Формирование изображения в литографии без масок.

5.1 Постановка задачи о формировании изображения в оптической литографии с микрозеркалами.

5.2 Аналитическое решение граничной задачи.

5.3 Результаты численного моделирования.

ВЫВОДЫ.

 
Введение диссертация по физике, на тему "Численное моделирование формирования изображения в проекционной фотолитографии"

Актуальность

Задача о формировании изображения в оптической системе в настоящее время является актуальной в связи с развитием микроэлектронной технологии [1], где для получения структуры интегральных полупроводниковых микросхем (ИС) широко используется оптическая литография (фотолитография) [1-5]. Литографический процесс определяет минимальный (критический) размер элементов на полупроводниковом кристалле и степень интеграции микросхемы, а значит её размеры и быстродействие при эксплуатации. Отметим, что существуют различные технологии литографии [4-8], но в серийном производстве ИС в основном используется проекционная фотолитография.

Численное моделирование формирования изображения относится к задачам, которые решаются при создании и исследовании новых оптических систем [9-10]. Моделирование фотолитографических процессов широко используется в полупроводниковом производстве [11-15], а также с целью изучения новых методик получения высокого разрешения, поиска наилучших конфигураций фазосдвигающих масок при заданных фиксированных параметрах (длины волны Л, числовой апертуры NA, степени когерентности сг) [12, 16-19].

С помощью численного моделирования процесса формирования изображения ,в фотолитографии можно осуществлять оптимальный выбор режима работы (т.е. такого режима освещения, параметров оптической системы и типов фотошаблонов (бинарных, фазовых, фазово-растровых), которые обеспечат наилучшее качество изображения). Применение фазовых масок позволяет улучшить характеристики изображения, такие как оптический контраст и пространственное разрешение [5, 16, 18].

Более перспективными и функционально гибкими являются фазово-растровые маски (ФРМ) [20]. Они позволяют получить любое физически допустимое распределение эффективного коэффициента пропускания от координат [20-23]. Можно ожидать, что такие маски позволят обеспечить высокий контраст изображения (не хуже, чем двухфазные маски со сдвигом фазы на 7г) и в то же время обеспечат снятие проблемы конфликта фаз (как трёхфазные маски).

В данной работе с помощью численного моделирования исследуется формирование «воздушного» изображения (т.е. сформированного на поверхности светочувствительного слоя - фоторезиста) для различных типов фотошаблонов (бинарных, фазовых, фазово-растровых) при изменении параметров проекционной системы и источника освещения.

Цели работы и основные задачи

Цели диссертационной работы состояли в исследовании формирования изображения в проекционной оптической литографии в рамках скалярной теории дифракции с учетом частичной когерентности источника света и дифракционной ограниченности оптической системы с помощью численного моделирования.

В диссертационной работе были поставлены следующие задачи:

1. Реализовать модель формирования оптического изображения в проекционной фотолитографии в виде пакета исследовательских программ.

2. Исследовать изображения тестовых объектов, даваемые различными типами масок (бинарными, фазовыми и фазово-растровыми), а также проанализировать зависимости критических размеров от численной апертуры и параметра когерентности для бинарных и фазовых масок.

3. Разработать алгоритм синтеза фазово-растровых масок (ФРМ), которые являются развитием фазовых масок и основаны на дискретизации функции пропускания и разложении отсчётов на три фазовые составляющие.

4. Провести аналитическое исследование задачи о нахождении распределения поля волны, отраженной от поворотного зеркала в скалярном приближении теории дифракции при когерентном и частично-когерентном освещении.

Обоснованность и достоверность полученных результатов подтверждается: физической обоснованностью используемых моделей, многократной проверкой программ тестовыми задачами, а также соответствием полученных результатов известным литературным данным.

Научная новизна

1. Впервые произведено численное моделирование формирования изображений, даваемых фазово-растровыми масками (ФРМ). Разработан алгоритм синтеза фазово-растровых масок, позволяющих получить произвольное распределение комплексной функции пропускания маски. В качестве исходного приближения для построения ФРМ предложено использовать свойство локальности - в пределе малых размеров отверстий отсчет функции пропускания в данной точке маски определяется положением ближайшего отверстия в растре.

2. Разработан алгоритм расчета оптических изображений в литографической системе с пространственным модулятором света (ПМС). В основу алгоритма положено спектральное представление скалярной волновой функции, описывающей распределение поля волны отраженной от поворотного микрозеркала.

3. Получена простая аналитическая формула для спектра пространственных гармоник дифрагированного поля в случае, когда граница объекта (на маске или ее аналоге) задается в виде произвольного многоугольника. Формула пригодна как для бинарных, так и для фазовых и фазово-растровых масок, а так же для масок с линейной зависимостью фазы от пространственных координат, что имеет место при формировании изображения системы поворотных микрозеркал.

Научная и практическая значимость работы

1. Разработан пакет исследовательских программ в среде MATLAB для моделирования формирования изображения в проекционной оптической литографии, который может использоваться как для выбора оптимальных характеристик фотолитографической установки, так и для исследования новых методик получения высокого разрешения. Особенностью разработанного программного пакета является использование спектрального подхода к расчету распределения интенсивности света при частично-когерентном освещении, в основу которого положена полученная формула для спектра пространственных гармоник дифрагированного поля в случае, когда граница объекта (на маске или ее аналоге) задается в виде произвольного многоугольника.

2. Рассмотрен подход к синтезу фазово-растровых масок для оптической литографии, основанный на дискретизации изображения и разложении отсчетов на три фазовые составляющие, заданные на специальном растре, который может быть использован для многих приложений оптической обработки информации, в частности, в голографии.

Защищаемые положения

1. Математическая модель формирования изображения в проекционной фотолитографии с бинарными, фазовыми и фазово-растровыми масками, а также их аналога перестраиваемого пространственного модулятора света, представляющего собой регулярную систему электрически управляемых микрозеркал, в основу которой положены аналитические соотношения скалярной теории дифракции с учетом частичной когерентности источника света и дифракционной ограниченности оптической системы, адаптированная к эффективной численной реализации.

2. Разработанные алгоритм и программы расчёта оптического изображения в проекционной фотолитографии с масками (бинарными, фазовыми и фазово-растровыми) или их динамическим аналогом пространственным модулятором света.

3. Разработанная методика оценки критических размеров элементов изображения путём численного моделирования и результаты исследования изображений тестовых объектов, даваемые различными типами масок (бинарными, фазовыми и фазово-растровыми).

4. Разработанные алгоритм и программа синтеза фазово-растровых масок, позволяющих получить маски с произвольно заданными функциями пропускания.

Публикации

Основные результаты диссертации изложены в одиннадцати работах в научных журналах и трудах конференций.

Апробация результатов работы

Результаты работы докладывались на следующих Всероссийских и Международных конференциях:

- Молодежная научная конференция «Физика и прогресс», Санкт-Петербург, 2005 г.

- 13-я международная конференция студентов, аспирантов и молодых учёных по фундаментальным наукам «Ломоносов - 2006», Москва, 2006 г.

- 8-я международная конференция «Опто-, наноэлектроника, нанотехнологии и микросистемы», Ульяновск, 2006 г.

- 7-я международная конференция «Прикладная оптика», Санкт-Петербург, 2006 г.

- 10-я и 11-я Всероссийская школа-семинар «Волновые явления в неоднородных средах», Звенигород, 2006 и 2008 гг.

- 11-я Всероссийская школа-семинар «Физика и применение микроволн», Звенигород, 2007 г.

Кроме того, результаты исследований докладывались и обсуждались на научных семинарах кафедры физики колебаний физического факультета МГУ.

Структура и объем диссертации

Структурно диссертационная работа состоит из пяти глав, вводного и заключительного разделов, а также списка использованной литературы. Она изложена на 135 листах, включая 10 таблиц, 39 рисунков и библиографию, состоящую из 97 наименований.

Содержание работы

Во введении обоснована актуальность и практическая значимость темы исследований. Сформулирована цель работы и дана краткая характеристика диссертации.

В первой главе диссертационной работы проводится анализ литературы, посвященной технологии оптической проекционной фотолитографии с использованием масок (фотошаблонов) и их динамических перестраиваемых аналогов. Выявляются основные проблемы проекционной фотолитографии и анализируются различные альтернативные фотолитографические системы без масок, а также приводится обобщенная схема проекционной оптической литографии. Анализ литературы показал, что оптическая литография без маски имеет ряд потенциальных достоинств. В частности, за счет дискретного задания дифракционной решетки из микрозеркал и поэлементного сканирования изображения в литографии с пространственным модулятором света (ПМС), составленного из поворотных микрозеркал можно достичь больших уменьшений (М = 200 - 267). Вместе с тем, оптимистические перспективы, которые открывает литография без масок, нуждаются в дальнейшей глубокой теоретической проработке и численном моделировании.

Вторая глава посвящена теоретическому исследованию прямой задачи о формировании изображения в проекционной фотолитографии, которая состоит в отыскании распределения интенсивности света 1(х',у') в плоскости изображения по известной функции пропускания фотошаблона (маски) F(x°,y°), при заданных условиях освещения и параметрах проекционной системы. Приведенное в настоящей главе аналитическое исследование задачи о формировании «воздушного» фотолитографического изображения может быть положено в основу построения алгоритма для численного моделирования. Отметим, что описанный подход к расчету изображений не требует разложения элементов маски на простейшие (прямоугольники и треугольники) составляющие, что позволяет радикально упростить геометрический анализ и сократить объем вычислений.

В данной работе мы ограничились рассмотрением скалярной модели дифракции, поскольку она позволяет без излишних усложнений исследовать вопросы о влиянии дифракционной ограниченности проекционной системы и частичной когерентности источника света на критические размеры,'а также изучить особенности формирования оптического отклика для масок различных типов.

В третьей главе диссертационной работы описан алгоритм, положенный в основу численного моделирования формирования изображения в проекционной фотолитографии, который позволяет обеспечить высокую точность задания элементов конфигурации маски. Путём численного моделирования производится сравнение характеристик изображений (пространственного разрешения и оптического контраста), создаваемых некоторыми типичными масками. Кроме того, рассмотрена методика оценки критических размеров элементов изображения и получены зависимости этих размеров от численной апертуры и параметра когерентности для различных тестовых масок.

В четвертой главе диссертационной работы рассмотрен подход к созданию фазово-растровых масок (ФРМ) для оптической литографии, и основанный на дискретизации изображения и разложении отсчетов на три фазовые составляющие, заданных на специальном растре. Показано, что для ФРМ выполняется свойство локальности - отсчет функции пропускания в данной точке маски определяется положением ближайшего отверстия в растре, что позволяет реализовать быстрый алгоритм вычисления значений функции пропускания. Кроме того, исследованы условия эквивалентности непрерывного и растрового задания функции пропускания. А также проведено численное моделирование формирования изображений с помощью синтезированных фазово-растровых масок.

В пятой главе диссертационной работы проведено аналитическое исследование задачи о нахождении распределения поля волны, отраженной от поворотного зеркала в скалярном приближении теории дифракции. Здесь также обобщается формула, полученная для спектра функции пропускания произвольного многоугольника на случай расчета изображений, даваемых перестраиваемым пространственным модулятором света на микрозеркальной структуре. А также представлены результаты численного моделирования формирования изображения на примере двух поворотных микрозеркал.

В заключении сформулированы основные результаты, полученные в диссертационной работе.

 
Заключение диссертации по теме "Радиофизика"

ВЫВОДЫ

В пятой главе диссертационной работы проведено аналитическое исследование задачи о нахождении распределения поля волны, отраженной от поворотного зеркала, в скалярном приближении теории дифракции при когерентном и частично-когерентном освещении.

Получены удобные соотношения для реализации эффективного алгоритма расчета оптических изображений в литографической системе без масок (5.17) и (5.20). Приведен простой пример численного моделирования расчета изображения двух поворотных микрозеркал.

ЗАКЛЮЧЕНИЕ

При выполнении диссертационной работы получены следующие основные научные результаты:

1. Получена простая аналитическая формула для спектра пространственных гармоник дифрагированного поля, в случае когда граница объекта (на маске) задается в виде произвольного многоугольника. Описанный подход к расчету изображений не требует разложения элементов маски на простейшие (прямоугольники и треугольники) составляющие, что позволяет радикально упростить геометрический анализ и сократить объем вычислений. Формула положена в основу расчета изображений в фотолитографии.

2. Разработаны алгоритм и программа расчёта оптического изображения в проекционной оптической литографии в приближении скалярной теории дифракции с учетом частичной когерентности источника света и дифракционной ограниченности оптической системы.

3. Разработана методика оценки критических размеров элементов изображения путём численного моделирования. Исследованы изображения тестовых объектов, даваемые различными типами; масок (бинарными, фазовыми и фазово-растровыми). Изучены зависимости критических размеров от численной апертуры и параметра когерентности для бинарных и фазовых масок. Показано, что двухфазные маски обеспечивают существенно больший контраст, чем бинарные и трехфазные маски.

4. Исследовано формирование изображение в фотолитографии с помощью фазово-растровых масок (ФРМ), которые являются развитием фазовых масок и основаны на дискретизации функции пропускания и разложении отсчётов на три фазовые составляющие. Разработан алгоритм их синтеза, который позволяет получить произвольное распределение функции пропускания маски.

5. Исследованы условия эквивалентности непрерывного и растрового, задания функции пропускания. Показано, что для ФРМ, размеры которых много меньше длины волны, выполняется свойство локальности - отсчет функции пропускания в данной точке маски определяется положением ближайшего отверстия в растре. Проведено численное моделирование и продемонстрировано действие фазово-растровой маски при создании элементов субмикронных размеров на примере двух колец с зазорами.

6. Проведено аналитическое исследование задачи о нахождении распределения поля волны, отраженной от поворотного зеркала в скалярном приближении теории дифракции при когерентном и частично-когерентном освещении. Получены удобные соотношения для реализации алгоритма расчета оптических изображений в литографической системе без масок.

В заключение автор считает своим приятным долгом выразить глубокую благодарность научному руководителю Геннадию Васильевичу Белокопытову за руководство и помощь в решении многих вопросов.

 
Список источников диссертации и автореферата по физике, кандидата физико-математических наук, Рыжикова, Юлия Владимировна, Москва

1. Ronen R., Mendelson A., Lai K., Lu S.-L., Pollack F., Shen J. P. Coming challenges in microarchitecture and architecture. // Proc. 1.EE. 2001. V. 89. No.3. P. 325-340.

2. Моро У. Микролитография: принципы, методы, материалы: в 2-х ч. М.: Мир. 1990. 1239 С.

3. Doering R., Nishi Y. Limits of integrated-circuit manufacturing. // Proc. IEEE. 2001. V. 89. No.3. P. 375-393.

4. Rai-Choudhuri P., Handbook of microlithography, micromachining, and microfabrication. // V. 1: Microlithography. SPIE Optical Engineering Press. Bellingham. 1997. 754 P.

5. Thompson L.F., Willson C.G., Bowden M.J. Introduction to microlithography. Washington. 1994. 527 P.

6. Matsuoka G., Tawa T. Application of electron-beam direct-writing technology to system-LSI manufacturing. // Hitachi review. 2003. V. 52. No.3. P. 157-160.

7. Электроника. Энц. слов. Под ред. Колесникова В. Г. М.: Сов. Энциклопедия. 1991. 688 С.

8. Домненко В. М. Математическое моделирование формирования фотолитографического изображения. // Канд. Диссертация, Санкт-Петербург. 1999. 130 С.

9. Ю.Проектирование оптических систем. Под редакцией Р. Шеннона, Дж. Вайанта. М. Мир. 1983. С. 178-320.

10. П.Виноградова О. А., Зверев В. А., Кривопустова Е. В., Тимощук И. Н. // Труды VII Международной конференции «Прикладная оптика-2006», Санкт-Петербург. 2006. Т. 1. С. 264-269.

11. Никитин А. В. Разрешение оптических систем и проблема воспроизведения минимальных элементов изображения. // Прикладная физика. 1997. Т. 4. С. 32-40.

12. Cole D.C., Barouch Е., Hollerbach U., Orszag S.A. Derivation and simulation of higher numerical aperture scalar aerial images. // J. Appl. Phys. 1992. V.31. No.l2B. P. 4110-4119.

13. Eib N.K., Croffie E. Strong phase shifting optical maskless lithography for the 65 nm node and beyond // Proc. SPIE Int. Soc. Opt. Eng. 2005. V. 5754(1). P. 465-475.

14. Greiner С. M., Mossberc T. W., Iazikov D. DUV nanolithography enables advanced diffraction-grating arrays. // Laser focus world. 2007. P. 113-116.

15. Levenson M. Improving resolution in photolithography with a phase-shifting mask. // IEEE transactions on electron devices. 1982. V. ED-29, No. 12. P. 1828-1836.

16. McCarthy Daniel C. Phase-shift techniques rewrite the semiconductor road map. //Photonics. 2001.V.35. P. 76-81.

17. Aleshin S.V., Belokopitov G.V., Scepanovic R. Mask having an arbitrary complex transmission function. // US Patent No.: 6,197,456 Bl. CI. 430/5. Mar.6. 2001.

18. Белокопытов Г. В., Короткова Ю. В. // Труды VII Международной конференции «Прикладная оптика-2006». Санкт-Петербург. 2006. Т. 3. С. 29-33.

19. Белокопытов Г. В., Короткова Ю. В. // Труды X Всероссийской школы-семинара «Волновые явления в неоднородных средах». Звенигород. 2006. ч. 3. С. 5-7.

20. Белокопытов Г. В., Короткова Ю. В. Фазово-растровые маски и их синтез. // Вестник Моск. Ун-та. Серия 3. Физика, астрон. 2007. №3. С. 44.

21. Котлецов Б. Н. Микроизображения: Оптические методы получения и контроля. Д.: Машиностроение. 1985. 240 С.

22. Gutmann R. J. Advanced silicon 1С interconnect technology and design: present trends and RF wireless implications. // IEEE transactions on microwave theory and techniques. 1999. V. 47. No6. P 667-674.

23. Валиев K.A., Раков A.B. Физические основы субмикронной литографии в микроэлектронике. М.: Радио и связь. 1984. 350 С.

24. Пресс Ф. П. Фотолитографические методы в технологии полупроводниковых приборов и интегральных микросхем. М.: Сов. Радио. 1978. 96 С.

25. Фотолитография и оптика. Под ред. Поля Г. и Федотова Я. А. М.: Сов. Радио. 1974. 389 С.

26. Домненко В. М., Базовый алгоритм для быстрого моделирования микроизображений при частично-когерентном освещении. // Опт. журнал. 1998. Т.65. В.1. С. 58-63.

27. Борн М., Вольф Э. Основы оптики. М.: Наука. 1970. 856 С.

28. Гудмен Дж. Статистическая оптика. М.: Мир. 1988. 528 С.

29. Маск С. A. Reducing proximity effects in optical lithography. // J. Appl. Phys. 1996. V. 35 (1). No.l2B. P. 6379-6385.

30. Chen J. F., Laidig Т., Wampler К. E., Caldwell R. Practical method for full-chip optical proximity correction. // SPIE. 1997. V. 3051. P. 790-803.

31. Harriott L. R. Limits of lithography // Proc. IEEE. 2001. V. 89. No.3. P. 366374.

32. Watanabe H., Higashikava I. Mask cost and specification // Proc. SPIE Int. Soc. Opt. Eng. 2003. V. 5256. P. 423-430.

33. Website ASM Lithography, http:// www.asml.com

34. Sandstrom Т., Bleeker A., Hintersteiner J.D., Troost K., Freyer J., Van Der Mast K. OML: Optical Maskless Lithography for economic design prototyping and small-volume production. // Proc. SPIE Int. Soc. Opt. Eng. 2004. V. 5377(2). P. 777-787.

35. Бельский А. Б., Ган M. А., Миронов И. A., // Труды VTI Международной конференции «Прикладная оптика-2006». Санкт-Петербург. 2006. Т. 3. С. 9-17.

36. Dauderstadt U., Diiir P., Karlin Т., Schenk H., Lakner H. Application of Spatial Light Modulators for Microlithography // Proc. SPIE Int. Soc. Opt. Eng. 2004. V. 5348. P. 119-126.

37. Esser H. G. Excimer laser speed microlithography mask writing // Europhotonics. 2006. V. 11. No.5. P.24-26.

38. Ljungblad U., Askebjer P., Karlin Т., Sandstrom Т., Sjoberg H. A high-end mask writer using a spatial light modulator // Progress in Biomedical Optics and Imaging-Proc. SPIE. 2005. V. 5721. P. 43-52.

39. Sandstrom Т., Ljungblad U. Phase-shifting optical maskless lithography enabling ASICs at the 65 and 45 nm nodes. // Proc. SPIE Int. Soc. Opt. Eng. 2004. V. 5567 (1). P. 529-544.

40. Sandstrom Т., Eriksson N. Resolution extensions in the Sigma 7000 imaging pattern generator// Proc. SPIE Int. Soc. Opt. Eng. 2002. V. 4889. P. 157-167.

41. Ljungblad U., Durr P., Sandstrom T. A method and apparatus for spatial light modulator // International Patent. 2002. WO 02/071127 Al.

42. Martinsson H.j Sandstrom Т., Bleeker A., Hintersteiner J. D. Current status of optical maskless lithography. // Jour, of Microlithography, Microfabrication and Microsystems. 2005. V. 4(1). P. 1-15.

43. Pease R.F. Maskless Lithography. II Microelectronic Engineering. 2005. 78-79 (1-4). P. 381-392.

44. Mounier E., De Charentenay Y., Eloy J.-C. New applications for MOEMS. // Proc. SPIE Int. Soc. Opt. Eng. 2006. V. 6114, art. no. 611405.

45. Chan К. F., Feng Z., Yang R., Mei W. High resolution maskless lithography by the integration of microoptics and point array technique // Proc. SPIE Int. Soc. Opt. Eng. 2003. V. 4985. P. 37-43.

46. Liu C., Guo X., Gao F., Luo В., Duan X., Du J., Qiu C. Imaging simulation of maskless lithography using a DMD™. // Proc. SPIE Int. Soc. Opt. Eng. 2005. V. 5645. P. 307-314.

47. Menon R., Gil D., Carter D.J.D., Patel A., Smith H.I. Zone-Plate Array Lithography (ZPAL): A maskless fast-turn-around system for microoptic device fabrication. // Proc. SPIE Int. Soc. Opt. Eng. 2003. V. 4984. P. 10-17.

48. Menon R., Patel A., Smith H.I. Maskless optical lithography using MEMs-based spatial-light modulators. // Progress in Biomedical Optics and Imagin -Proc. SPIE. 2005.V. 5721. P. 53-63.

49. Yoshimoto Т., Miyaki I., Yaze H., Maruka Y., Ri N., Teramoto Т., Morohoshi K., Koyagi Y. Micro-stereo-lithography system. // Proc. SPIE Int. Soc. Opt. Eng. 2006. V. 6151 (2), art. no. 615131.

50. Qinjun Peng, Yongkang Guo, Shijie Liu. Real-time gray-scale photolithography for fabrication of continuous microstructure. // Optics letters, 2002. V. 27. No. 19. P. 1720-1721.

51. Bakke Т., Volker В., Rudloff D., Friedrichs M., Schenk H., Lakner H. Large scale, drift free monocrystalline silicon micromirror arrays made by wafer bonding // Proc. SPIE Int. Soc. Opt. Eng. 2006. V. 6114, art. no. 611402.

52. Su Guo-Dung J., Toshiyoshi H., Wu Ming C. Surface-micromachined 2-D optical scanners with hign-performance single-crystalline silicon micromirrors. // IEEE photonics technology letters. 2001. V. 13. No.6. P. 606-608.

53. Ryf R., Stuart H.R., Giles C.R. MEMS tip/tilt & piston mirror arrays as diffractive optical elements. // Proc. SPIE Int. Soc. Opt. Eng. 2005. V. 5894, art. no. 58940C. P. 1-11.

54. Shroff Y., Chen Y., Oldham W.G. Optical analysis of mirror based pattern generation. //Proc. SPIE Int. Soc. Opt. Eng. 2003. V. 5037 (I). P. 550-559.

55. Shroff Y.A., Chen Y., Oldham W.G. Image optimization for maskless lithography. // Proc. SPIE Int. Soc. Opt. Eng. 2004. V. 5374 (2). P. 637-647.

56. Stone E.M., Hintersteiner J.D., Cebuhar W.A., Albright R., Eib N.K., Latypov A., Baba-Ali N., (.), Croffie E.H. Achieving mask-based imaging with optical maskless lithography. // Proc. SPIE Int. Soc. Opt. Eng. 2006. V. 6151 (2), art. no. 61512E.

57. Granik Y. Solving inverse problems of optical microlithography. // Proc. SPIE Int. Soc. Opt. Eng. 2005. V. 5754(1). P. 506-526.

58. Виноградова M. Б., Руденко О. В., Сухоруков А. П. Теория волн. М.: Наука. 1979. С. 251.

59. Гудмен Дж. Введение в Фурье-оптику. М.: Мир. 1970. 364 С.

60. Валиев К. А., Кудря В. П., Махвиладзе Т. М. Обратная задача фотолитографии как задача нелинейного программирования. // Микроэлектроника, 1986. Т. 15. В. 6. С. 514-518.

61. Karnowski Т., Joy D., Allard L., Clonts L. System considerations for maskless lithography. //Proc. SPIE Int. Soc. Opt. Eng. 2004. V. 5374(2). P. 1080-1091.

62. Martinsson H., Sandstrom T. Rasterizing for SLM-based mask-making and maskless lithography. // Proc. of SPIE. 2004. V. 5567. P. 557-564.

63. Burkhardt C.B. A simplification of Lee's method of generating holograms by computer //Appl. Opt. 1970. V.9. P.1949.

64. Chavel P, Hugonin J. P. High quality computer holograms: the problem of phase representation. // JOSA. 1976. V. 66. No. 10. P. 989.

65. Lee W.H. Sampled Fourier transform hologram generated by computer. // Appl. Opt. 1970.V.9. P. 639.

66. Власенко В. А., Лаппа Ю. И., Ярославский Л. П. Методы синтеза быстрых алгоритмов свертки и спектрального анализа сигналов. М.: Наука. 1990. 179 С.

67. Парыгин В. Н., Балакший В. И. Оптическая обработка информации. М.: Изд-во Моск. ун-та. 1987. 142 С.

68. Старк Г. Применение методов Фурье-оптики. М.: Радио и связь. 1988. 536 С.

69. Стюард И. Г. Введение в Фурье-оптику. М.: Мир. 1988. 182 С.

70. Белокопытов Г. В., Рыжикова Ю. В. Дифракция Фраунгофера на многоугольнике и расчет изображений бинарных масок. // Вестник Моск. Ун-та. Серия 3. Физика, астрон. 2008 (в печати).

71. Анго А. Математика для электро и радиоинженеров. М.: Наука. 1967. С. 146.

72. Тихонов А. Н., Самарский А. А. Уравнения математической физики. М.: Изд-во Моск. ун-та. 1999. 798 С.

73. Бендат Д., Пирсон А., Измерение и анализ случайных процессов. М.: Мир. 1974. 464 С.

74. Калиткин Н. Н. Численные методы. М.: Наука. 1978. 512 С.

75. Ярославский Л. П. Введение в цифровую обработку изображений. М.: Сов. Радио. 1979. 312 С.

76. Дьяконов В. MATLAB: учебный курс. СПб.: Питер. 2001. 554 С.

77. Дьяконов В., Круглов В. Математические пакеты расширения MATLAB. СПб.: Питер. 2001. 480 С.

78. Блейхут Р. Быстрые алгоритмы цифровой обработки сигналов. М.: Мир. 1989. С. 128-170.

79. Гоноровский И. С. Радиотехнические цепи и сигналы. М.: Дрофа. 2006. 719 С.

80. Справочник по специальным функциям под ред. Абрамовича М. и Стиган И. М.: Наука. 1979. С. 688.

81. Сороко JI. М. Основы голографии и когерентной оптики. М.: Наука. 1971. 616 С.

82. Белокопытов Г. В., Рыжикова Ю. В. // Труды XI Всероссийской школы-семинара «Физика и применение микроволн». Звенигород. 2007. ч. 2. С. 21-23.

83. Белокопытов Г. В., Рыжикова Ю. В. Сравнение характеристик изображения в проекционной фотолитографии. // Известия РАН. Серия физическая. 2008. Т. 72. №1. С. 88.

84. Валюс Н. А. Растровые и оптические приборы. М.: Машиностроение. 1966. 207 С.

85. Власов Н. Г., Соломахо Г. И. // Сборник трудов научно-практической конференции «Голография в России и за рубежом. Наука и практика». 2007. С. 67-70.

86. Ярославский Л. П. Цифровая обработка сигналов в оптике и голографии: Введение в цифровую оптику. М.: Радио и связь. 1987. 296 С.

87. Белокопытов Г. В., Короткова Ю. В. // Труды VII Международной конференции «Прикладная оптика-2006». Санкт-Петербург. 2006. Т. 3. С. 29-33.

88. Короткова Ю. В. // Тезисы научной конференции «Физика и прогресс» Санкт-Петербург. 2005. С. Е-03.

89. Короткова Ю. В. // Сборник работ научной конференции «Физика и прогресс» Санкт-Петербург. 2005. С. 174-177.

90. Короткова Ю. В. // Тезисы докладов конференции Ломоносов-2006. Т. 2. С. 8-10.

91. Toshiyoshi H., Piyawattanametha W., Chan C., Wu M. C. Linearization of electrostatically actuated surface micromachined 2-D optical scanner. // IEEE Journ. of Microelectromechanical Systems. 2001. V. 10, No.2. P. 205-214.