Особенности измерений линейных размеров субмикронных структур методом растровой электронной микроскопии тема автореферата и диссертации по физике, 01.04.04 ВАК РФ

Заблоцкий, Алексей Васильевич АВТОР
кандидата физико-математических наук УЧЕНАЯ СТЕПЕНЬ
Долгопрудный МЕСТО ЗАЩИТЫ
2009 ГОД ЗАЩИТЫ
   
01.04.04 КОД ВАК РФ
Диссертация по физике на тему «Особенности измерений линейных размеров субмикронных структур методом растровой электронной микроскопии»
 
Автореферат диссертации на тему "Особенности измерений линейных размеров субмикронных структур методом растровой электронной микроскопии"



ФВДЕРАЛЬНОЕ АГЕНТСТВО ПО ОБРАЗОВАНИЮ РОССИЙСКОЙ ФЕДЕРАЦИИ Государственное образовательное учреждение высшего профессионального образования «Московский физико-технический институт (государственный университет)»

ОСОБЕННОСТИ ИЗМЕРЕНИЙ ЛИНЕЙНЫХ РАЗМЕРОВ СУБМИКРОННЫХ СТРУКТУР МЕТОДОМ РАСТРОВОЙ ЭЛЕКТРОННОЙ МИКРОСКОПИИ

01.04.04 - физическая электроника

Автореферат диссертации на соискание учёной степени кандидата физико-математических наук

На правах рукописи УДК 53.088:620.187

ЗАБЛОЦКИЙ АЛЕКСЕЙ ВАСИЛЬЕВИЧ

- 3 ДЕК 2009

Долгопрудный - 2009

003486052

Работа выполнена в государственном образовательном учреждении высшего профессионального образования «Московский физико-технический институт (государственный университет)».

Научный руководитель: доктор физико-математических наук,

профессор Шешин Евгений Павлович.

Официальные оппоненты: доктор физико-математических наук,

Защита диссертации состоится «16» декабря 2009 года в 14.00 на заседании Диссертационного совета Д 212.156.01 при Московском физико-техническом институте по адресу: 141700, Московская область, г. Долгопрудный, Институтский пер., 9, 204 НК

Отзывы направлять по адресу: 141700, Московская область, г. Долгопрудный, Институтский пер., д. 9, МФТИ.

С диссертацией можно ознакомиться в библиотеке МФТИ.

Автореферат разослан «16» ноября 2009 года.

Ученый секретарь диссертационного совета

Новиков Юрий Алексеевич, главный научный сотрудник Института общей физики РАН,

доктор технических наук,

профессор Быков Виктор Александрович,

генеральный директор

ЗАО «Инструменты нанотехнологии».

Ведущая организация: Институт кристаллографии

им. А.В. Шубникова РАН

А. С. Батурин

ОБЩАЯ ХАРАКТЕРИСТИКА РАБОТЫ

Актуальность темы

Современный уровень технологий позволяет производить объекты и структуры чрезвычайно малых размеров. Согласно Международной дорожной карте развития полупроводниковых технологий [1] размер затвора современных транзисторов составляет менее 32 нм, что является одним из наиболее малых массово производимых объектов, для которых необходим строгий контроль линейных размеров. Для определения значения критических размеров в субмикронном диапазоне (от десятков до сотен нанометров) применяются различные виды высокоразрешающей микроскопии, такие как сканирующая зондовая микроскопия, просвечивающая электронная микроскопия, растровая электронная микроскопия, микроскопия на основе фокусированных ионных пучков и т.д. Однако, для решения задач, требующих оперативного контроля (без специальной пробоподготовки, высокопроизводительного, неразрушаю-щего) подходит только растровая электронная микроскопия. При этом, несмотря на то, что диаметр электронного зонда современных растровых электронных микроскопов (РЭМ) может достигать единиц нанометров, размер области сбора вторичных электронов, формирующих информативный сигнал РЭМ, за счет процессов рассеяния может достигать значений нескольких десятков нанометров. Это приводит к расхождению между «кажущимся» размером элемента, определённым по профилю видеосигнала РЭМ, и его реальным размером.

В связи с этим актуальной является задача разработки способов определения (измерения) геометрических параметров субмикронных объектов путём анализа РЭМ изображений с учетом информации о режиме работы РЭМ, при котором выполнены измерения. Один из таких способов заключается в моделировании физических процессов, происходящих в РЭМ, для получения модельных РЭМ изображений. Подбирая параметры модели изучаемых объектов, добиваются наилучшею совпадения реального и модельного изображения. После этого полученные оптимальные параметры принимают за реальные размеры объекта [2]. Способ применяют для определения критических размеров изделий современной микроэлектроники с помощью прецизионных низковольтных РЭМ с внутрилинзовыми детекторами [3]. При этом вычислительная задача моделирования РЭМ упрощается, вследствие уменьшения области взаимодействия электронного зонда с исследуемым образцом. Однако в реальной практике используются разнообразные модели РЭМ, в том числе высоковольтные, с детектором Эверхарта-Торнли. Это требует дальнейшей проработки подходов к моделированию физических процессов формирования информативного сигнала РЭМ. При этом в процессе проведения измерений линейных размеров методом растровой электронной микроскопии важно показать прослеживаемость результатов измерений к первичному эталону длины.

К кругу задач, требующих оперативного контроля, относится in-situ диагностика результатов технологических операций, выполненных с помощью на-

учно-исследовательского оборудования (двулучевых систем, сочетающих растровую электронную микроскопию и технологию фокусированных ионных пучков; многокамерных нанотехнологических комплексов и Т:Д.). В частности, новый способ литографии {1}, основан на принципе «камеры-обскура» с использованием пучка нейтральных атомов, проходящих через массив малых отверстий в тонкой мембране, называемых микролинзами. В настоящее время микролинзы с характерным размером порядка 25 нм и более изготавливаются с использованием технологии фокусированных ионных пучков. При этом, практическое применение данного спосбба литографии (например, для создания метаматериалов) требует, чтобы создаваемые структуры имели одинаковые размеры. В свою очередь, разброс размеров создаваемых структур зависит от разброса эффективного диаметра микролинз, который необходимо оперативно контролировать /п-г/й/ в процессе их изготовления.

Цель диссертационной работы

Целью настоящей работы является разработка компьютерной модели растрового электронного микроскопа, адекватно учитывающей процессы взаимодействия электронного зонда с исследуемым образцом и сбора вторичных электронов, и разработка на основе этой модели способа измерения линейных размеров в субмикронном и нанометровом диапазоне, позволяющего определить погрешность (неопределённость) проводимых измерений и обеспечивающего прослеживаемость к первичному эталону длины.

Таким образом, перед диссертационной работой были поставлены следующие задачи: '

- анализ способов измерений линейных размеров субмикронных структур методом растровой электронной микроскопии;

•- разработка компьютерной модели РЭМ, учитывающей физические процессы формирования информативного сигнала: взаимодействие электронного зонда с исследуемым образцом, сбор и детектирование вторичных электронов;

- разработка способа измерения линейных размеров методом растровой электронной микроскопии с использованием компьютерной модели РЭМ, включая способ определения погрешности (неопределённости) измерений, а также обеспечивающих прослеживаемость к первичному эталону длины;

- применение разработанного способа для оперативного ¡п-з'^и контроля параметров микролинз атомно-проекционного нанолитографа.

Новизна результатов

К наиболее оригинальным результатам, представленным диссертационной' работе, можно отнести следующие:

- расчет функции сбора вторичных электронов детектором Эверхарта-Торнли при моделировании работы растрового электронного микроскопа, что позволяет корректно учитывать конструктивные особенности РЭМ, применяемых^ качестве средств ¡п-вйи диагностики в технологических комплексах;

- впервые предложен способ использования параллелизации вычислений с помощью графического процессора видеокарты персонального компьютера для повышения скорости моделирования РЭМ, что позволяет рассчитывать процессы взаимодействия электронного пучка РЭМ с поверхностью исследуемого образца методом Монте-Карло в разумное время

- предложен способ проведения измерений линейных размеров методом растровой электронной микроскопии с использованием компьютерной модели РЭМ, основанный на параметризации профилей экспериментального и модельного изображений;

- впервые предложен способ определения погрешности (неопределённости) результатов измерений, выполненных с помощью РЭМ, путём моделирования физических процессов формирования информативного сигнала в РЭМ;

-впервые разработан способ использования РЭМ для /л-йЯи контроля параметров микролинз атомно-проекционного нанолитографа, изготовляемых с помощью технологии фокусированных ионных пучков;

- разработан способ уменьшений эффективного диаметра микролинз с использованием эффекта контаминации,

Научные результаты, выносимые на защиту

1. Процесс сбора вторичных электронов детектором, который вносит важный вклад в формирование информативного сигнала РЭМ при использовании наиболее распространенного детектора Эверхарта-Торнли, может быть с достаточной точностью учтен при моделировании РЭМ введением функции сбора, определяющей вероятность попадания вторичного электрона в детектор и зависящей от энергии вторичного электрона и направления его вылета. Важное для процесса измерения линейных размеров проявление несимметричности функции сбора в несимметричности профилей РЭМ изображений для микро- и наноструктур с симметричным профилем поперечного сечения может быть минимизировано путём ориентации оси симметрии образца в направлении на детектор вторичных электронов только в РЭМ, не содержащих в рабочей камере «лишних» конструктивных элементов, которые могут поглощать вторичные электроны и искажать их траектории.

2. Область возможных значений параметров исследуемого образца £, измеряемых с помощью РЭМ, описываемого набором инструментальных параметров к , представляет собой множество таких сочетаний этих параметров С, что для всех невязка

периментального изображения 1{к) и параметрами профиля модельного

сящего от неопределённости параметров экспериментального изображения и

между параметрами профиля экс-

изображения М(£, к) не превышает значения ет", в свою очередь зави-

неопределённостей инструментальных параметров РЭМ и результатов моделирования РЭМ.

3. Привязка линейных размеров исследуемого объекта, определенных с помощью моделирования РЭМ, к первичному эталону длины может быть осуществлена введением масштабного коэффициента, связывающего параметры профиля экспериментального изображения эталона сравнения с параметрами профиля модельного изображения этого эталона.

4. Применение предложенного способа для /п-эЛи контроля процесса изготовления регулярного массива микролинз в мембране нитрида кремния толщиной 40 нм с помощью фокусированного пучка ионов галлия с энергией ЗОкэВ позволило установить дозу воздействия (4-6 пКл), при которой получаемые отверстия имеют коническую форму с верхним диаметром 90-130 нм и нижним диаметром 20-50 нм. Исходя из значений эффективного (нижнего) диаметра микролинзы, определяется оптимальное' фокусное расстояние атомно-проекционного литографа, обеспечивающее наилучшее разрешение.

Практическая значимость

Проблема определения - погрешности (неопределенности) результатов измерений линейных размеров с использованием метода растровой электронной микроскопии не имеет общепринятого решения в настоящее время и практически полностью игнорируется производителями измерительного оборудования. Представленная работа посвящена разработке способа измерений линейных размеров субмикронных и нанометровых структур основанного на сравнении профилей экспериментального полученных изображения и изображений, полученных в результате моделирования РЭМ с учетом с учетом информации о режиме работы РЭМ, при котором получены экспериментальные данные. Для этого разработана модель РЭМ, позволяющая проводить реалистичное физическое моделирование процессов взаимодействия электронного зонда РЭМ с исследуемым образцом, а также процесса сбора вторичных электронов детектором Эверхарта-Торнли, как наиболее распространённым. Разработанный способ измерений линейных размеров методом растровой электронной микроскопии обеспечивает прослеживаемость измерений к первичному эталону длины и позволяет определить погрешность (неопределённость) полученных результатов. • ¡ -у ^Аналогичный подход к проведению измерений в настоящее время, как . Правило, использует упрощенные модели, основанные на рассмотрении предварительно рассчитанных результатов взаимодействия электронного зонда с тестовыми объектами простой формы (примитивами) и представления результата'моделирования как суперпозиции предварительно рассчитанных интегральных данных. Такой подход применим при отсутствии взаимного влияния результатов взаимодействия электронов с отдельными примитивами друг на друга. Это справедливо при небольших размерах области взаимодействия электронного пучка ^образцом, характерной для низковольтных РЭМ, применяемых для контроля результатов микроэлектронного производства. В практике научно-исследовательской деятельности зачастую приходится при-

менять доступные широкому кругу исследователей РЭМ, обеспечивающих хорошее качество фокусировки зонда лишь при высоких ускоряющих напряжениях, ведущих к существенному росту области взаимодействия электронного зонда с исследуемым образцом. В этом случае необходимо полное моделирование процессов рассеяний электронов первично пучка, основанное на методе Монте-Карло, что требует значительных вычислительных ресурсов. В представленной работе показана возможность параллелизации вычислений с помощью графического процессора видеокарты персонального компьютера для повышения скорости вычислений, что позволяет моделировать процессы взаимодействия электронного пучка РЭМ с поверхностью исследуемого образца методом Монте-Карло в разумное время (порядка минуты для получения качественного профиля изображения).

Представленный способ измерений линейных размеров был применён для оперативного ¡п-БИи контроля параметров микролинз атомно-проекционного нанолитографа, изготовляемых с помощью технологии фокусированных ионных пучков. Возможность оперативного определения эффективного диаметра микролинзы атомно-проекционного литографа позволила подтвердить гипотезу о факторах, ограничивающих разрешение данного метода литографии. Также определение эффективного диаметра микролинз позволило подобрать оптимальные для существующей литографической установки параметры и уменьшить характерные размеры отдельных элементов создаваемых методом атомно-проекционной литографии структур вплоть до 30 нм, что позволяет использовать этот метод литографии для производства перспективных метаматериалов.

Внедрение результатов работы

Результаты диссертационной работы внедрены в процесс изготовления микролинз, являющихся ключевым элементом технологии атомно-проекционной нанолитографии, разрабатываемой Институтом спектроскопии РАН, МФТИ и ООО «Фортехлэб» для производства атомных наноструктур с критическими размерами порядка 30 нм. Также методические результаты по определению погрешности результатов измерений линейных размеров методом растровой электронной микроскопии и обеспечения привязки этих результатов к первичному эталону длины могут быть использованы в ведущих российских метрологических организациях, занимающихся проблемой обеспечения единства измерений в субмикронном и нанометровом диапазоне ВНИИМС, ВНИОФИ, НИЦПВ.

Апробация работы

Результаты диссертации опубликованы в 3 статьях в российских журналах (из списка ВАК), 2 статьях в иностранных журналах и сборниках трудов, а также 13 тезисах докладов на научных конференциях. Основные результаты обсуждались на следующих конференциях:

• Международной конференции «Микро- и наноэлекгроника - 2009», ЮМЫЕ-2009, Звенигород, Россия, 5-9 октября 2009 г.

• 3rd International Congress on Advanced Electromagnetic Materials in Microwaves and Optics, Metamaterials-2009, Лондон, Великобритания, 30 августа - 4 сентября 2009 г.

• 1-ой и 11-ой Всероссийской конференции «Многомасштабное моделирование процессов и структур в нанотехнологиях», МИФИ, Москва, 12-14 марта 2008 г, 28-30 мая 2009 г.

• Научной сессии МИФИ-2009, Москва, 26-30 января 2009 г.

• 50-ой - 52-ой Научной конференции МФТИ «Современные проблемы фундаментальной и прикладной физики и математики», Москва-ДолгопрудныйгМФТИ, 2007-2009 гг.

• Всеукраинской конференции молодых ученых «Современное материаловедение: материалы и технологии», Киев, Украина, 12-14 ноября 2008 г.

• Российском совещании по актуальным проблемам полупроводниковой фотоэлектроники «Фотоника-2008», Новосибирск, Россия, 19-23 августа 2008 г.

• V-ой Международной конференции по актуальным проблемам физики, материаловедения, технологии и диагностики кремния, наномет-ровых структур и приборов на его основе «Кремний - 2008», Черноголовка, Россия, 1-4 июля 2008 г.

• VIIIth Conference of Advanced Mathematical and Computational Tools in Metrology and Testing, AMCTM-2008, Париж, Франция, 23-25 июня 2008 г.

• Международной конференции «Микро- и наноэлекгроника - 2007», ICMNE-2007, Звенигород, Россия, 1-5 октября 2007 г.

Структура и объем диссертации

Диссертация состоит из введения, 4-х глав с выводами, заключения и списка цитированной литературы. Работа содержит 129 страниц, 52 рисунка и 7 таблиц.

СОДЕРЖАНИЕ РАБОТЫ

Во введении обоснована актуальность работы, сформулированы цель и задачи исследования, а также научные положения, выносимые на защиту.

В первой главе описывается устройство растрового электронного микроскопа (РЭМ) и физические принципы работы РЭМ, накладывающие ограничения на возможность прямой интерпретацию данных, полученных с помощью РЭМ, для измерения линейных размеров исследуемых объектов. Показано расхождение результатов измерений линейных размеров в субмикронном диапазоне, проводимых с помощью таких методов как растровая электронная микроскопия, оптическая конфокальная сканирующая лазерная микроскопия и элеетрорезистивный метод. Рассмотрены подходы, позволяющие преодолеть это расхождение основанные как на моделировании процессов рассеяния электронного зонда и порождения вторичных электронов методом Монте-

Карло, так и безмодельные подходы, основанные на анализе формы видеосигнала.

Отмечено, что для решения измерительных задач в настоящее время, как правило, используются упрощенные модели расчета формирования информативного сигнала РЭМ, основанные на суперпозиции предварительно рассчитанных результатов взаимодействия зонда РЭМ с тестовыми объектами простой формы (примитивами), из которых составляется модель исследуемого образца. Такой подход справедлив при небольшой области взаимодействия электронного зонда с образцом, когда отсутствует взаимное влияние результатов взаимодействия электронов с отдельными примитивами друг на друга, что реализуется при небольшой области взаимодействия электронного пучка с исследуемым образцом, характерной для низковольтных РЭМ. В случае использования высоковольтных РЭМ, требуется прямое моделирование физических процессов рассеяния первичных и порождения вторичных электронов методом Монте-Карло, что требует значительных вычислительных ресурсов. Поэтому актуальным являются способы ускорения проведения таких вычислений, доступные широкому кругу исследователей.

Также отмечено, что хотя подходы, основанные на моделировании РЭМ для получения профиля модельного изображения и подборе такой модели образца, которая обеспечивает наилучшее совпадение профилей модельного и экспериментального изображения являются достаточно развитыми, вопрос обеспечения прослеживаемости передачи эталона длины в субмикронный и нанометровый диапазон в них не рассматривается.

Кроме того, процесс сбора вторичных электронов, в рассмотренных работах не моделируется, неявно полагая использование высокоэффективных детекторов, не вносящих артефактов в получаемую информацию. К такому роду детекторов можно отнести внутрилинзовые детекторы, применяемые в дорогостоящих РЭМ. Однако в настоящее время основным типом детектора истинно вторичных электронов в РЭМ является детектор Эверхарта-Торнли, собирающий низкоэнергетичные электроны за счет приложенного потенциала. При этом, распределение потенциала, а, следовательно, и функция сбора вторичных электронов зависит от конфигурации рабочей камеры РЭМ и наличия в ней дополнительного оборудования. В случае использования РЭМ для ¡п-эХи диагностики в составе технологических комплексов конфигурация рабочей камеры может быть особенно сложной. Поэтому актуальным является разработка способа определения функции сбора вторичных электронов, позволяющей учесть конструктивные особенности конкретной модели РЭМ.

Таким образом, исходя из проведенного анализа литературы, была поставлена задача разработать модель формирования информативного сигнала РЭМ, основанную на моделирования процессов взаимодействия электронного зонда с образцом и сбора вторичных электронов. Далее, используя эту модель, необходимо разработать способ проведения измерений линейных размеров субмикронных структур методом растрепой электронной микроскопии, обеспечивающий прослеживаемость результатов измерений к первичному

измерений к первичному эталону длины и позволяющий определить погрешность (неопределённость) полученных результатов.

Вторая глава посвящена описанию разработанной программной модели РЭМ {2}, используемой для построения модельного изображения исследуемого объекта. Работа РЭМ основана на регистрации вторичной электронной эмиссии в процессе сканирования образца электронным пучком. Поэтому программная модель РЭМ учитывает процессы взаимодействия первичного пучка электронов с исследуемым образцом, а также процесс сбора вторичных электронов и их детектирование. При этом учитывается наличие разброса параметров электронов в первичном сканирующем пучке.

Так как процессы взаимодействия электронов с исследуемым образцом (рассеяние, порождение вторичных электронов) могут быть описаны статистическими закономерностями, то для моделирования этих процессов был применён метод Монте-Карло. При моделировании методом Монте-Карло сложный вероятностный процесс взаимодействия электронов с образцом рассматривается как последовательность элементарных актов, причем конкретные значения параметров результата взаимодействия получают из соответствующих распределений с помощью случайных чисел. При этом, предполагается что

• рассеивающие центры в мишени расположены случайно относительно налетающего на каждом шаге пути электрона, хотя и не обязательно с постоянной плотностью;

• падающие электроны взаимодействуют одновременно только с одним рассеивающим центром; это означает, что игнорируется когерентное рассеяние сразу на нескольких центрах, когда проявляются волновые свойства частиц;

• падающие частицы не взаимодействуют мезду собой.

Для описания процессов упругого рассеяния первичного пучка электронов с энергией Е на ядрах вещества с атомным номером Т использовалось

сечение Резерфорда <тЕ [4].

5,21x10"

г2 Ал ( £ + 511 ^ ... 2

Е2 сс{\ + а)

20,67

(см/атом), (1)

где а - параметр экранирования (а = 3,4 х 10_3-), а энергия указана

Е

в килоэлектронвольтах. Для описания процессов порождения вторичных электронов с энергией цЕ использовалась простая модель неупругого рассеяния [4], описываемая дифференциальным сечением

¿а леЧ \ 1 Л

ф Е

У О-/*)2,

Рис. 1. Распределение электрического потенциала в камере Quanta 200 в сечении, проходящем через ось симметрии колонны и центр детектора.

Рис 2. Расчет обратного движения электронов от детектора к выделенной эквипо-тенциали вблизи поверхности образца для определения фазового пространства параметров.

В промежутке между актами рассеяния потери энергии моделировались с помощью «приближения непрерывных потерь», описываемого модифицированным соотношением Бете-Блоха [4] для потерь энергии с1Е на пути ¿й1 в твердом теле, дающее совпадение с экспериментальными данными при

Е > 50 эВ

mm

(3)

(кЭВ/А),

(¿Б АЕ \ J )

где А - атомный вес вещества образца (в г/моль), р - плотность образца (в

58,5"

г/см), J - средний ионизационный потенциал (/ =

9,76Z +

xlO"

кэВ). При падении энергии электронов ниже Ет{п движение электронов приобретает диффузный характер, и вероятность выхода из образца может быть оценена как р = 0,5ехр(-2/Де5С), где г - расстояние до границы образца,

А»с (А) ~ характерная глубина выхода, оцениваемая как [4]

Лех =750х£иб6/р.

После выхода в вакуум рабочей камеры электроны движутся по криволинейной траектории под влиянием собирающего потенциала детектора Эвер-харта-Торнли. Для расчета этого движения задача разбивается на две части. На первом этапе происходит предварительный расчет макро-распределения электростатического поля в рабочей камере и расчет возможных траекторий движения электронов, при которых они попадут на детектор. Расчет производится единожды для каждой моделируемой конфигурации рабочей камеры следующим, образом (рис. 1). На расстоянии на порядок большем, чем характерная высота рельефа структуры образца, от поверхности исследуемого объекта проводится эквипотенциаль. Далее решается обратная задача - из каждой точки детектора с инвертированным потенциалом испускаются элек-

троны со всеми возможными в реальной практике значениями скорости и направления движения (рис. 2). Движение электронов прослеживается до попадания на обозначенную эквипотенциаль. Направление и модуль скорости на момент пересечения эквипотенциали и образуют фазовое пространство «разрешенных состояний» электронов, которые могут быть зарегистрированы на детекторе (рис. 3).

Как видно из данных диаграмм распределений «разрешенных состояний», при низких начальных энергиях электронов (менее 10 эВ) процент их попадания в детектор очень высок. При энергиях свыше 100 эВ электроны распространяются практически прямолинейно и процент их сбора определяется телесным углом сбора детектора. Следует отметь, что диаграмма распределения «разрешенных состояний» существенно зависит от конструкции

а) б)

Рис. 3. Распределение «разрешенных» направлений, обеспечивающих попадание электронов на детектор, по углам вылета при энергиях: а) 2 эВ; б) 128 эВ; По-горизонтали: угол азимута на центр детектора; по-вертикали: угол возвышения над горизонтом. РЭМ

Quanta 200.

На рисунке . 4 приведены соответствующие диаграммы для РЭМ Quanta 3D Dual Beam. Поэтому, при моделировании работы РЭМ, особенно включенных в состав технологических комплексах, следует осуществлять подобный расчет для определения эффективности сбора вторичных электронов и определения «запрещенных» сочетаний направления и скорости движений вторичных электронов. В практике проведения с измерений с помощью РЭМ результаты такого расчет позволяют избежать неоптамальной ориентации образца относительно детектора вторичных электронов, приводящей к значимой ассиметрик и искажении получаемого сигнала.

№ г Р, I <> г 1 --' т. г - ¡Й х

Ifer * I - Yi ' .'/^"t- Г- x'AL-zl '

siwviiewaas^irji^ij^n^«»^-'...........^

a) 6)

Рис. 4. Распределение «разрешенных» направлений, обеспечивающих попадание электронов на детектор, по углам вылета при энергиях: а) 2 эВ; б) 128 эВ; По-горизонтали: угол азимута на центр детектора; по-вертикали: угол возвышения над горизонтом. РЭМ

Quanta 30 Dual Beam.

Моделирование процессов взаимодействия электронов с образцом методом Монте-Карло требует значительных вычислительных ресурсов. Для накопления достаточной статистики необходимо провести анализ траектории нескольких тысяч электронов в каждой точке растра. Однако, эти вычисления легко поддаются распараллеливанию, так как движение каждого электрона

рассматривается независимо, исходя из посылки об отсутствии взаимодействия между ними. При этом развитие современно уровня техники позволяет проводить параллельные вычисления не только на специализированных кластерах, но и с использованием широко доступной «бытовой» компьютерной техники. Например, параллельные вычисления легко реализовать с использованием ресурсов графического процессора, поддерживающего технологию NVIDIA CUDA. Применение данной технологии позволило ускорить проведение моделирования РЭМ более чем на порядок.

Описанная в этой главе программная модель РЭМ применялась для построения модельных изображений, используемых при проведении измерений линейных размеров методом растровой электронной микроскопии способом, описанным в главе 3.

Третья глава посвящена описанию предлагаемого способа проведения измерений линейных размеров методом растровой электронной микроскопии с использованием компьютерной модели РЭМ, основанного на параметризации профилей экспериментального и модельного изображений {3,4} с привязкой к первичному эталону длины, позволяющая определить погрешность (не-

Объект

Измерение Реальный

микроскол

Экспериментальное изображение

Изображение

Модель

Параметры

Параметризованная модель

Моделирование Модель

микроскопа

Li

Модельное изображение

Система обработки изображений

о. z ш £

Варьирование параметров модели

Кроссхореляционные зависимости

Ошибка Сравнение

изображений

Линейные размеры объекта

а) б)

Рис. 5. Подход к измерениям: а) - традиционный; б) - основанный на дополнении экспериментальных изображения модельными данными, их параметризации и оптимизации до получения наилучшего совпадения. Процесс измерения с помощью современных микроскопов можно условно разделить на три этапа (рис. 5(а)). На первом этапе реальный объект исследуют с помощью микроскопа, в результате чего получают изображение нанообъ-екта. На втором этапе с помощью системы анализа изображений проводят предварительную фильтрацию полученных изображений (с целью минимизации влияния микроскопа), сегментацию (обнаружение искомых образов) и осуществляют измерения параметров изображения (анализ толщины линий, величин углов изломов, расстояний меледу элементами изображения и т. д.). В результате обработки изображения получают набор параметров, характеризующих изображение (образ) объекта. На третьем этапе исследователь, проводит анализ изображения и устанавливает взаимосвязь параметров изображения с

параметрами объекта. В результате получают информацию о параметрах исследуемого объекта с точностью, определяемой как измерительным прибором, так и используемой в явном или неявном виде моделью. Именно этот этап наиболее важен с точки зрения обеспечения метрологической точности измерений, так как он наиболее сложен для алгоритмизации и сильно зависит от "человеческого фактора, то есть от квалификации персонала.

Представляемый способ решения указанной научно-технической проблемы предлагается дополнять стандартную схему проведения измерений: «объект - изображение - модель - параметры», следующими шагами: «параметризованная модель объекта - моделирование изображения - сравнение с изображением реального объекта и подбор параметров модели объекта до получения совпадения» (рис. 5(6)). Таким образом, алгоритм проведения измерения представленным способом можно представить в виде:

1. Получают изображение исследуемого объекта с помощью от-калиброванного РЭМ, описываемого набором (вектором) инструментальных параметров к. -с,-. 2. Параметризуют экспериментально полученное изображение

набором (вектором) параметров 1{к) ■

3. Делают начальные предположения о модели исследуемого объекта и описывают её набором (вектором) параметров £.

4. Используя программу, моделирующую работу РЭМ, принимающую в качестве входных параметров § и к , получают модельное изображение.

5. Параметризуют полученное с помощью моделирования изображение набором параметров А/^д).

6. Определяют невязку

где п - размерность векторов

т

параметров I(к) и м{^,к\ и минимизируют %2 до выполнения ус-

2 2 _ ловия % < £Т , где £т - величина конечной невязки, зависящая от неопределённости параметров экспериментального изображения £,, неопределённости инструментальных параметров РЭМ £к и неопределённо-

I 2 2~

сти результатов моделирования РЭМ £м как ет = у]£1 +£к + £1

ы

7. Находят множество параметров модели исследуемого образца О , для которых выполняется условие %2 < вт~.

8. За доверительный интервал определения /-го параметра модели исследуемого образца gi выбирают проекцию множества О на ось параметра /'.

Методика проведения линейных измерений должна обеспечивать трансляцию Первичного эталона метра на нанометровый масштаб с необходимой точностью. В представляемом способе проведения измерений прослеживае-мость обеспечивается следующим образом.

дерм

О'

13 15 20

Рис 6. Сечение выступа рельефной Рис 7. Зависимость эффективного ра-

меры и его видеопрофили, полученные диуса электронного зонда £>' от радиуса с помощью РЭМ различных типов [5]. электронного пучка йГ первичных электронов энергией 30 кВ для кремния. Привязку результатов измерений, проводимых с помощью РЭМ, к первичному эталону длины осуществляют с помощью эталона сравнения, определяя масштабный коэффициент видеоизображения кх [нм/пиксель] через

отношение аттестованного параметра (размера) эталона [нм] и расстояния между соответствующими этому параметру характерными точками на видеопрофиле информативного сигнала микроскопа [пиксель]. Например, в случае использования рельефной меры МШПС-2.0К [4] используют аттестованный размер проекции боковой стенки на плоскость нижнего основания а и соответствующие расстояния между характерными точками на видеопрофиле

А, и Ак (рисунок 6), так что

А + А

Еще о^ним параметром, определяемым с помощью рельефной меры МШПЬ-2.0К, является эффективный диаметр электронного зонда РЭМ Их

2

где £>7 и £>Л - расстояние между характерными точками на видеопрофиле (рис. 6). При этом средняя ширина проекции наклонных стенок есть функция от масштабного коэффициента РЭМ, а усреднённое отображение эффективного диаметра зонда РЭМ есть функция от диаметра пучка первичных электронов с1е:

А = А{кх), (6)

Э = Щ<1е). (7)

Чтобы осуществить привязку результатов моделирования работы РЭМ к первичному эталону длины, необходимо определить масштабный коэффициент полученного с помощью моделирования видеоизображения кт . Для этого

моделируют получение изображения эталона сравнений, например, рельефной меры МШПС-2.0К, для которого уже получено экспериментальное изображение, параметризованное согласно [5] и определены Аь, АК, И, и DR. Также из этих данных определяется диаметр пучка электронов с1е, соответствующих эффективному диаметру Их, который необходимо использовать в

качестве инструментального параметра РЭМ при моделировании.

На вход моделирующей РЭМ программы необходимо задать модель исследуемого образца с четко заданными параметрами. Однако аттестованный параметр меры а имеет некоторый разброс параметров

а е [а — и{а),а + г;(а)]. Поэтому, для корректного учета разброса параметра а необходимо провести ряд модельных экспериментов. Обозначим минимальное ожидаемое значение аттестованного параметра рельефной меры ах=а — и(а), а максимально ожидаемое значение аттестованного параметра рельефной меры а2 = а + и(а). Для этих значений необходимо определить масштабные коэффициенты к\ и к\ соотносящие расстояния, выраженные в пикселях для модельного изображения А\ 21, А\ 2Д и экспериментального изображений^, АК. После этого можно принять кт = к'кх, где к'=0,5(к\+к'2).

Для определения к\ необходимо провести модельный эксперимент со значением параметра эталона сравнения (величины проекции наклонной стенки выступа на основание) а{, меняя высоту выступа к, так чтобы обеспечить сохранение угла наклона боковой стенки трапеции, в пределах допуска, согласно паспорту на меру. Получаемое при этом модельное изображение параметризуют согласно представленному на рисунке 6 способу, использую

обозначения А\,, А'ы для ширины отображения наклонной стенки, и ,

№ш для ширины отображения эффективного диаметра зонда РЭМ. При этом, ввиду (6) и (7) необходимо найти значения масштабного коэффициента к\ и задаваемого при моделировании диаметра пучка первичных электронов

с1\т, обеспечивающие минимизацию функции рассогласования: • ■

*2= 1 -к'

л1

м Ли

А'

1

\2

. 1 А Л 1 Оя

(8)

Минимизируя по к\, полагая Фу _ д 1 получаем выражение для опти-

дк\

мального масштабного коэффициента к\

А \ I . А . п В\, О'

к\'=

1 и

А

ы

А

лм

А

+

\ А )

А

+

Г п . л2

V Агу

О)

Минимизируя по (Г.т, полагая ^ - = р, получаем уравнение для опре-

деления оптимального диаметра пучка первичных электронов с!\

Д'и 5Д'„.

Л2 а/'ы

а/у»

А,,2

1 эд\,

Д.

(10)

/

Значения частных производных —!£. и -—

8с1\,

М\

определяют из данных

1 т 1м

полученных с помощью моделирования (рис 7). Численно решая уравнения ) = 0, находят возможный интервал значений й\т, соответствующий

экспериментально определяемому эффективному диаметру 2). Затем, используя выражение (9) находят соответствующий масштабный коэффициент

к\ и соответствующий доверительный интервал. На следующем шаге аналогичным образом находят масштабный коэффициент к\, проводя модельные

эксперименты со значением параметра эталона сравнения а2 = а + и{а). Таким образом определяют масштабный коэффициент полученного с помощью моделирования видеоизображения кт , что позволяет корректно сравнивать расстояния, определённые с помощью экспериментальных и модельных изображений.

В четвертой главе описан новый способ литографии [6], основанный на принципе «камеры-обскура» с использованием пучка нейтральных атомов, проходящих через массив малых отверстий в тонкой мембране, называемых микролинзами, и применение предложенного способа измерений линейных размеров для оперативного контроля параметров микролинз, изготавливаемых с помощью технологии фокусированных ионных пучков {5}. В настоящее время при участии автора с использованием данного метода продемонстрировано создание наноструктур с размером минимального элемента наноструктуры около 30 нм.

На рисунке 8 представлена принципиальная схема атомной проекционной литографии. В этом подходе с помощью атомов на подложке создается многократно уменьшенное изображение маски, состоящей из сквозных отверстий, топология расположения которых задаёт форму создаваемых наноструктур. Для этого пучок атомов пропускают через маску с отверстиями, формируя по аналогии с оптикой фотонов «светящийся объект» заданной геометрии. Атомы, прошедшие через маску, поступают на мембрану, отстоящую от маски на расстоянии /_ » 1 5 см, содержащую большое количество микролинз - отверстий диаметром 20 * 200 нм. Каждая микролинза является «камерой-обскурой», формирующей на поверхности подложки, находящейся от мембраны на расстоянии / = 1 * 20 мкм, своё индивидуальное изображение маски уменьшенное в М — ЫI - 103 -МО4 раз. В результате на подложке формируется массив идентичных наноструктур, образованных осаждёнными на поверхность атомами пучка.

Мем&рдаь \ Ч;;'

.Рис. 8 Схема, атомко-яроекционнай г Рис. 9 Изображение микролинзы,

нанолитографии РЭМ Ойэгйа 30 Оиа! Веат.

В настоящее время для изготовления ключевого элемента атомно-проекционного нанолитографа. (мембраны содержащей массив микролинз) используются ультратонкие мембраны нитрида кремния толщиной 40 или 50 нм производства фирмы TedPella. В этих мембранах остросфокусированным ионным пучком изготавливаются серии наноотверсгий. Для этого использовался комплекс Quanta 3D Dual Beam (FEI Co.), сочетающий в себе растровый электронный микроскоп и управляемый фокусированный ионный пучок. Установлено, что при использовании ускоряющего напряжения 30 кВ с помощью фокусированного пучка ионов галлия при дозе экспозиции в точке 4-6 пКл в используемой мембране получаются сквозные отверстия, при дальнейшем использовании которых в качестве микролинз атомно-проекционного литографа размер отдельных элементов создаваемых этим способом литографии структур не превышает 100 нм.,.

ß I

!

I \

j

а) 6)

Рис. 10. Профиль строки растра РЭМ изображения атомной микролинзы, а) - полученный экспериментально; б) - параметризованный.

В подходе атомно-проекционной нанолитографии методом атомной ка-меры-обскура значения размеров элементов создаваемых наноструктур определяются следующими параметрами эксперимента: (1) «уменьшающей силой» камеры обскура, (2) размером и формой микролинзы, (3) длиной канала микролинзы, (4) значением длины волны де Бройля используемых атомов. Перечисленные параметры определяют фокусное расстояние микролинзы, образованной сквозным отверстием в мембране.

Минимально возможный размер создаваемых структур достигается при значениях диаметра каноотзерстий менее 50 нм, вариации этого значения значительно сказываются на характерных размерах создаваемых наноструктур {1}. По этой причине характеризация параметров используемых микролинз является чрезвычайно важной проблемой для реализации предельного разрешения метода атомно-проекционной литографии. При этом важно отметить необходимость измерения параметров создаваемых микролинз в процессе их производства, т.к. в этом случае уменьшается число операций производимых с мембранами, каждая из которых может привести к изменению параметров микролинз. Это, в свою очередь, ограничивает возможности использования для определения параметров микролинз просвечивающие электронные микроскопы.

Для in-situ контроля параметров микролинз был применен подход, использующий компьютерную модель РЭМ, основанный на параметризации профилей экспериментального и модельного изображений, описанный в главе 3. На рисунке 9 представлено характерное изображение микролинзы, полученное с помощью РЭМ, встроенного в комплекс Quanta 3D Dual Beam. На рисунке 10 представлен профиль строки растра РЭМ изображения атомной микролинзы (по оси ординат отложена нормированная интенсивность профиля видео-сигнала - I), и параметризованная модель профиля. Изображение микролинзы, изготовленной в пленке S/3W4 толщиной 40 нм, получено при использовании ускоряющего напряжения величиной 30 кВ и значения тока электронного зонда равного 62 пА. Профиль строки растра РЭМ изображения представляет собой набор кривых, четыре из которых (АВ, ВС, DE, EF) можно описать полиномами третьего порядка. Для описания экспериментального изображения были выбраны следующие параметры: расстояние между максимумами сигналов, получаемых от боковых стенок наноотверстия - BE, и угол наклона изображения стенки - ст.

X..Z

¡¡¿р \ }

I / \J

к

50 100 150 200 250 300

Ч>

б)

в) г)

Рис. 11. Варианты сечения атомной микролинзы, а) - конический канал; в) - сложносоставной профиль; б, г) - соответствующие профили, полученные в результате моделирования строк растра РЭМ изображения вдоль диаметра структуры

На рисунке 11 представлены параметризованные модели наноотверстия и соответствующие профили видеосигнала, полученные моделированием работы РЭМ для нескольких вариантов формы канала наноотверстия: (1) канал имеет коническую форму, диаметр нижнего отверстия меньше диаметра

верхнего (рис. 11 (а), 3(6)); (2) канал имеет сложную форму, состоящую из конуса и цилиндра (рис. 11 (в), 3(г)). Как видно из рисунка форма профилей видеосигнала, получаемых с использованием варианта 2 модели микролинзы, не отвечает характеру профиля экспериментальных данных. Поэтому в дальнейших расчётах в качестве математической модели поверхности мембраны с единичным наноотверстием была взята форма, представленная в модели номер 1.

Характерные параметры измеряемого наноотверстия были определены в серии расчётов изображения математической модели поверхности мембраны с единичным наноотверстием для различных значений его диаметра и угла схождения образованного наноотверстием канала. Полученные результаты приведены на рисунке 12. На рисунке 12(а) показаны зависимости параметра ВЕ от значения параметра наноотверстия а в диапазоне от 40 нм до 110 нм при трёх значениях параметра наноотверстия b: b¡ = 20 нм, b¡ = 30 нм и Ь3 = .40 нм (обозначения варьируемых модельных параметров приведены на рис. 11 (а)). Из рисунка видно, что влияние параметра наноотверстия на приведённые зависимости невелико. В этом интервале значений параметра b экспериментально измеренному параметру наноотверстия BE ~ 98 нм соответствует значение параметра а = 95 + 3 нм. На рисунке 12(6) представлены результаты компьютерного моделирования зависимости параметра модели наноотверстия ctg(a) от разности параметров а и b для пяти различных значений параметра a: ai = 95 нм, аг = 80 нм, а3 = 110 нм, а4 = 70 нм и as = 130 нм. Как видно из рисунка, параметр наноотверстия а сильно влияет на получаемые зависимости ctg(a) от разности параметров (а-b). Для экспериментально измеренной величины ctg(a) = 0,035 и определённого значения параметра а из графика 4(6) следует значение для параметра b равное 25 ± 4 нм. Доверительный интервал результатов измерений определён из приведённых зависимостей, согласно описанному в главе 3 способу.

- f f**

bt f

ití

i i

SO 100 »0 120

а) 6)

Рис. 12. Зависимость параметров изображения РЭМ, полученных в результате моделирования от параметров модели микролинзы, а) - между диаметром верхнего основания модели микролинзы и расстоянием между максимумами профиля сигнала; б) - между разницей верхнего и нижнего диаметра модели микролинзы и котангенсом угла наклона боковой стенки.

Достоверность полученных результатов была подтверждена результатами измерений параметров наноотверстия в мембране на растровом электронном микроскопе высокого разрешения с диаметром зонда менее 1 нм (Hitachi FE S-4800) - рис. 13(a). Как видно из рисунка результаты микроскопии высокого разрешения хорошо согласуются с полученными в данной работе. На рисунке 13(6) показана наноструктура созданная с помощью наноотверстия (рис. 13(a)) с помощью метода атомно-проекционной литографии. (Изображение получено методом атомно-силовой микроскопии). Наноструктура представляет собой полосы разной толщины образованные атомами !п на кремниевой подложке. Минимальный размер элемента в созданных наноструктурах равен 30 нм и сформирован атомами прошедшими через щель в маске шириной 40 мкм. Проведённые расчеты показывают, что наноструктура с такими пространственными размерами соответствует {1} случаю использования отверстия с эффективным диаметром около 25 нм.

Таким образом были установлены параметры режима изготовления микролинз с помощью технологии фокусированных ионных пучков (ускоряющее напряжение 30 кВ, ток пучка 10 пА, суммарная доза в точку 5 пА) обеспечивающие стабильные характеристики создаваемых микролинз, позволяющий достигнуть наилучшего на сегодняшний день разрешения атомно-проекционной нанолитографии порядка 30 нм.

Рис. 13. Подтверждение результатов определения параметров микролинзы, а) - изображение микролинзы, полученное с помощью РЭМ высокого разрешения в режиме «на просвет» ; б) - структуры, получаемые атомно-проекционной нано-

литографией.

В случаях, когда на начальном этапе отработки способа изготовления микролинз из-за ошибок в фокусировке ионного пучка, эффективный диаметр микролинз оказывался более 100 нм, применялся способ его уменьшения, основанный на использовании эффекта контаминации. Этот эффект заключается в стимулированном электронным лучом осаждении плёнки углеродосо-держащих соединений из остаточных газов рабочей камеры РЭМ. Для определения скорости роста плёнки был проведен контрольный эксперимент при давлении в рабочей камере 2*10"5 Topp. Содержащие микролинзы обрабатываемые области размером 600x600 нм сканировались электронным зондом с током 0,26 нА и выдержкой в точке 10 мкс при трех различных ускоряющих

напряжениях (10 кВ, 20 кВ, 30 кВ). На рисунке 14 приведена зависимость степени заращивания (отношения конечного наблюдаемого диаметра £>к отверстия к начальному наблюдаемому диаметру £>н) от времени общей экспозиции. Несмотря на более медленную скорость заращивания при использовании ускоряющего напряжения 30 кВ, отклонение конечного диаметра микролинз от линейной зависимости по времени существенно меньше, чем при использовании более низких ускоряющих напряжений. Поэтому именно этот режим использовался для коррекции параметров микролинз. И хотя данный способ ухудшал воспроизводимость результатов, он был важен на начальном этапе разработки технологии изготовления микролинз атомно-проекционного нано-литографа, позволяющих получить размер отдельных элементов создаваемых структур менее 100 нм (рис. 15).

- 30*з8| 20кэВ' ТОкзВ;

* , :

Врем, зараи»»знийт м»,

Рис. 14. Зависимость степени заращивания микролинз от времени экспозиции.

сквозных отверстий при разных дозах

В заключении сформулированы основные научные и практические результаты работы, полученные автором.

Основные результаты работы

1. Разработан способ учета функции сбора вторичных электронов детектором Эверхарта-Торнли, что позволяет корректно учитывать конструктивные особенности РЭМ, применяемых в качестве средств т-ёНи диагностики в технологических комплексах

2. Впервые разработана программа, моделирующая получение изображений методом растровой электронной микроскопии, использующая технологии параллельных вычислений с помощью графического процессора видеокарты персонального компьютера для ускорения моделирования процессов взаимодействия электронного зонда с исследуемым образцом методом Монте-Карло.

3. Впервые разработан способ проведения измерений линейных размеров методом растровой электронной микроскопии в диапазоне 10-1000 нм с использованием компьютерной модели РЭМ, основанный на параметризации профилей экспериментального и модельного изображений, позволяющий определить погрешность (неопределённости) результатов измерений и обеспечивающий прослеживаемостъ к первичному эталону длины.

4. Использование предложенного способа измерений линейных размеров для in-situ контроля параметров микролинз, изготовляемых с помощью технологии фокусированных ионных пучков, позволило воспроизводимо уменьшить минимальный размер элемента структур, создаваемых методом атомно-проекционного литографии, до 30 нм.

5. Разработан способ уменьшений эффективного диаметра микролинз атомно-проекционного литографа с использованием эффекта контаминации.

Список цитированной литературы:

1. Semiconductor Industry Association. International Technology Roadmap for Semiconductors (2008 edn) II http://www.itrs. net/Links/2008ITRS/

2. Frase C.G., Buhr E, and Dirscheri K. CD characterization of nanostructures in SEM metrology II Meas. Sci. Technol. — 2007. —V. 18. — P. 510-523.

3. Gorelikov D.V., Remillard J., Sullivan N.T. and Davidson M. Model-based CD-SEM metrology at low and ultralow landing energies: implementation and results for advanced 1С manufacturing // Surf. Interface Anal. — 2005 — V. 37 — p. 959

4. Joy D.C. Monte Carlo Modeling for Electron Microscopy and Microanalysis // Oxford University Press, New York —1995.

5. ГОСТ. P 8.636-2007. ГСИ. Микроскопы электронные растровые. Методика калибровки.

6. Balykin V.I., Borisov Р.А., Letokhov V.S., Melentiev P.N., Rudnev S.N., Cherkun A.P., Akimenko A.P., Apel P.Yu, Skuratov V.A. Atom "Pinhole Camera" with Nanometer Resolution IIJETP Letters. — V. 84. N 8. — 2006 — pp. 466-469.

Основные материалы диссертации содержатся в следующих работах:

{1} Melentiev P.N., Zablotskiy A.V., Lapshin D.A., Sheshin E.P., Baturin A.S. , Balykin V.I. Nanolithography based on an atom pinhole camera. II Nanotechnology. — 2009. — V. 20, N. 23 — 235301 {2}Заблоцкий A.B., Батурин A.C., Бормашов B.C., Кадушников P.M., Штуркин H.A. Компьютерное моделирование растрового электронного микроскопа для целей нанометрологии II Российские Нанотехнологии. — 2007. — № 11-12, Т.2 — С. 40-48.

{3}Bormashov V.S., Zablotskiy A.V., Baturin A.S. Concept of "Virtual Microscope" for nanometrology. II Advanced Mathematical and Computational

Tools in Metrology VIII, Series on Advances in Mathematics for Applied Sciences - Vol. 78, World Scientific, Singapore, —2009. — ISBN 978-981283-951-0. — p. 234-237.

{4} Заблоцкий A.B., Батурин A.C., Шешин Е.П., Бормашов B.C., Нагирный

B.П., Коростылев E.B. Компьютерное моделирование средств измерений в нанометрологии. II Нано- и микросистемная техника. — 2009. — № 8 —

C. 2-6.

{5} Заблоцкий A.B., Мелентьев П.Н., Шешин Е.П., Батурин A.C., Балыкин В.И., Коростылев Е.В., Лапшин Д.А., Кузин A.A. Применение виртуального растрового электронного микроскопа для определения диаметра проецирующей микролинзы атомного нанолитографа. II Нано- и микросистемная техника. — 2009. — № 11 — С. 2-7.

Заблоцкий Алексей Васильевич

Особенности измерений линейных размеров субмикронных структур методом растровой электронной микроскопии

Автореферат

Подписано в печать 13.11.2009 Формат 60x84 1/16, Усл. леч. л. 1,25 Тираж 100 экз. Заказ № 39

Московский физико-технический институт (государственный университет) НИЧ МФТИ

141700, Московская область, Долгопрудный, Институтский пер., 9

 
Содержание диссертации автор исследовательской работы: кандидата физико-математических наук, Заблоцкий, Алексей Васильевич

ВВЕДЕНИЕ.

ГЛАВА 1. Измерение линейных размеров в растровой электронной микроскопии

1.1 Физические основы и устройство растрового электронного микроскопа.

1.2 Вторичная электронная эмиссия.

1.2.1 Упругое рассеяние электронов.

1.2.2 Неупругое рассеяние электронов.

1.3 Измерение линейных размеров в растровой электронной микроскопии.

1.3.1 Расхождение результатов при измерениях с помощью различных методов.

1.3.2 Подход к измерениям, основанный на моделировании.

1.3.3 Безмодельный подход на основе анализа формы видеосигнала

1.3.4 Развитие подходов измерений, основанных на моделировании.

 
Введение диссертация по физике, на тему "Особенности измерений линейных размеров субмикронных структур методом растровой электронной микроскопии"

Актуальность темы

Современный уровень технологий позволяет производить объекты и структуры чрезвычайно малых размеров. Согласно Международной дорожной карте развития полупроводниковых технологий [1] размер затвора современных транзисторов составляет менее 32 нм, что является одним из наиболее малых массово производимых объектов, для которых необходим строгий контроль линейных размеров [2]. Для определения значения критических размеров в субмикронном диапазоне (от десятков до сотен нанометров) применяются различные виды высокоразрешающей микроскопии, такие как сканирующая зондовая микроскопия, просвечивающая электронная микроскопия, растровая электронная микроскопия, микроскопия на основе фокусированных ионных пучков и т.д. Однако, для решения задач, требующих оперативного контроля (без специальной пробоподготовки, высокопроизводительного, неразрушающего) подходит только растровая электронная микроскопия. При этом, несмотря на то, что диаметр электронного зонда современных растровых электронных микроскопов (РЭМ) может достигать единиц нанометров, размер области сбора вторичных электронов, формирующих информативный сигнал РЭМ, за счет процессов рассеяния может достигать значений нескольких десятков нанометров. Это приводит к расхождению между «кажущимся» размером элемента, определённым по профилю видеосигнала РЭМ, и его реальным размером.

В связи с этим актуальной является задача разработки способов определения (измерения) геометрических параметров субмикронных объектов путём анализа РЭМ изображений с учетом информации о режиме работы РЭМ, при котором выполнены измерения. Один из таких способов заключается в моделировании физических процессов, происходящих в РЭМ, для получения модельных РЭМ изображений. Подбирая параметры модели изучаемых объектов, добиваются наилучшего совпадения реального и модельного изображения. После этого полученные оптимальные параметры принимают за реальные размеры объекта [3-6]. Способ применяют для определения критических размеров изделий современной микроэлектроники с помощью прецизионных низковольтных РЭМ с внутрилинзовыми детекторами [5]. При этом вычислительная задача моделирования РЭМ упрощается, вследствие уменьшения области взаимодействия электронного зонда с исследуемым образцом. Однако в реальной практике используются разнообразные модели РЭМ, в том числе высоковольтные, с детектором Эверхарта-Торнли. Это требует дальнейшей проработки подходов к моделированию физических процессов формирования информативного сигнала РЭМ. При этом в процессе проведения измерений линейных размеров методом растровой электронной микроскопии важно показать прослеживаемость результатов измерений к первичному эталону длины.

К кругу задач, требующих оперативного контроля, относится ¡п-в^и диагностика результатов технологических операций, выполненных с помощью научно-исследовательского оборудования (двулучевых систем, сочетающих растровую электронную микроскопию и технологию фокусированных ионных пучков; многокамерных нанотехнологических комплексов и т.д.). В частности, новый способ литографии, основан на принципе «камеры-обскура» с использованием пучка нейтральных атомов, проходящих через массив малых отверстий в тонкой мембране, называемых микролинзами. В настоящее время микролинзы с характерным размером порядка 25 нм и более изготавливаются с использованием технологии фокусированных ионных пучков. При этом, практическое применение данного способа литографии (например, для создания метаматериалов) требует, чтобы создаваемые структуры имели одинаковые размеры. В свою очередь, разброс размеров создаваемых структур зависит от разброса эффективного диаметра микролинз, который необходимо оперативно контролировать т-вИи в процессе их изготовления.

Цель диссертационной работы

Целью настоящей работы является разработка компьютерной модели растрового электронного микроскопа, адекватно учитывающей процессы взаимодействия электронного зонда с исследуемым образцом и сбора вторичных электронов, и разработка на основе этой модели способа измерения линейных размеров в субмикронном и нанометровом диапазоне, позволяющего определить погрешность (неопределённость) проводимых измерений и обеспечивающего прослеживаемость к первичному эталону длины.

Таким образом, перед диссертационной работой были поставлены следующие задачи:

-анализ способов измерений линейных размеров субмикронных структур методом растровой электронной микроскопии;

- разработка компьютерной модели РЭМ, учитывающей физические процессы формирования информативного сигнала: взаимодействие электронного зонда с исследуемым образцом, сбор и детектирование вторичных электронов;

- разработка способа измерения линейных размеров методом растровой электронной микроскопии с использованием компьютерной модели РЭМ, включая способ определения погрешности (неопределённости) измерений, а также обеспечивающих прослеживаемость к первичному эталону длины;

- применение разработанного способа для оперативного ¡п-эНи контроля параметров микролинз атомно-проекционного нанолитографа.

Новизна результатов

К наиболее оригинальным результатам, представленным диссертационной работе, можно отнести следующие:

- расчет функции сбора вторичных электронов детектором Эверхарта-Торнли при моделировании работы растрового электронного микроскопа, что позволяет корректно учитывать конструктивные особенности РЭМ, применяемых в качестве средств т-БИи диагностики в технологических комплексах;

- впервые предложен способ использования параллелизации вычислений с помощью графического процессора видеокарты персонального компьютера для повышения скорости моделирования РЭМ, что позволяет рассчитывать процессы взаимодействия электронного пучка РЭМ с поверхностью исследуемого образца методом Монте-Карло в разумное время

- предложен способ проведения измерений линейных размеров методом растровой электронной микроскопии с использованием компьютерной модели РЭМ, основанный на параметризации профилей экспериментального и модельного изображений;

- впервые предложен способ определения погрешности (неопределённости) результатов измерений, выполненных с помощью РЭМ, путём моделирования физических процессов формирования информативного сигнала в РЭМ;

- впервые разработан способ использования РЭМ для ¡п-й'Ли контроля параметров микролинз атомно-проекционного нанолитографа, изготовляемых с помощью технологии фокусированных ионных пучков;

- разработан способ уменьшений эффективного диаметра микролинз с использованием эффекта контаминации.

Научные результаты, выносимые на защитуг

1. Процесс сбора вторичных электронов детектором, который вносит важный вклад в формирование информативного сигнала РЭМ при использовании наиболее распространенного детектора Эверхарта-Торнли, может быть с достаточной точностью учтен при моделировании РЭМ введением функции сбора, определяющей вероятность попадания вторичного электрона в детектор и зависящей от энергии вторичного электрона и направления его вылета. Важное для процесса измерения линейных размеров проявление несимметричности функции сбора в несимметричности профилей РЭМ изображений для микро- и наноструктур с симметричным профилем поперечного сечения может быть минимизировано путём ориентации оси симметрии образца в направлении на детектор вторичных электронов только в РЭМ, не содержащих в рабочей камере «лишних» конструктивных элементов, которые могут поглощать вторичные электроны и искажать их траектории.

2. Область возможных значений параметров исследуемого образца §, измеряемых с помощью РЭМ, описываемого набором инструментальных параметров к , представляет собой множество таких сочетаний этих параметров О, профиля экспериментального изображения / (к) и параметрами профиля модельного изображения М(£,к) не превышает значения ет2, в свою очередь зависящего от неопределённости параметров экспериментального изображения и неопределённостей инструментальных параметров РЭМ и результатов моделирования РЭМ.

3. Привязка линейных размеров исследуемого объекта, определенных с помощью моделирования РЭМ, к первичному эталону длины может быть осуществлена введением масштабного коэффициента, связывающего параметры профиля экспериментального изображения эталона сравнения с параметрами профиля модельного изображения этого эталона.

4. Применение предложенного способа для ¡п-вИи контроля процесса изготовления регулярного массива микролинз в мембране нитрида кремния толщиной 40 нм с помощью фокусированного пучка ионов галлия с энергией 30 кэВ позволило установить дозу воздействия (4-6 пКл), при которой получаемые отверстия имеют коническую форму с верхним диаметром 90-130 нм и нижним диаметром 20-50 нм. Исходя из значений эффективного (нижнего) диаметра микролинзы, определяется оптимальное фокусное расстояние атомно-проекционного литографа, обеспечивающее наилучшее разрешение. что для всех £ е С? невязка между параметрами

Практическая значимость

Проблема определения погрешности (неопределенности) результатов измерений линейных размеров с использованием метода растровой электронной микроскопии не имеет общепринятого решения в настоящее время и практически полностью игнорируется производителями измерительного оборудования. Представленная работа посвящена разработке способа измерений линейных размеров субмикронных и нанометровых структур основанного на сравнении профилей экспериментального полученных изображения и изображений, полученных в результате моделирования РЭМ с учетом с учетом информации о режиме работы РЭМ, при котором получены экспериментальные данные. Для этого разработана модель РЭМ, позволяющая проводить реалистичное физическое моделирование процессов взаимодействия электронного зонда РЭМ с исследуемым образцом, а также процесса сбора вторичных электронов детектором Эверхарта-Торнли, как наиболее распространённым. Разработанный способ измерений линейных размеров методом растровой электронной микроскопии обеспечивает прослеживаемость измерений к первичному эталону длины и позволяет определить погрешность (неопределённость) полученных результатов.

Аналогичный подход к проведению измерений в настоящее время, как правило, использует упрощенные модели, основанные на рассмотрении предварительно рассчитанных результатов взаимодействия электронного зонда с тестовыми объектами простой формы (примитивами) и представления результата моделирования как суперпозиции предварительно рассчитанных интегральных данных. Такой подход применим при отсутствии взаимного влияния результатов взаимодействия электронов с отдельными примитивами друг на друга. Это справедливо при небольших размерах области взаимодействия электронного пучка с образцом, характерной для низковольтных РЭМ, применяемых для контроля результатов микроэлектронного производства. В практике научно-исследовательской деятельности зачастую приходится применять доступные широкому кругу исследователей РЭМ, обеспечивающих хорошее качество фокусировки зонда лишь при высоких ускоряющих напряжениях, ведущих к существенному росту области взаимодействия электронного зонда с исследуемым образцом. В этом случае необходимо полное моделирование процессов рассеяний электронов первично пучка, основанное на методе Монте-Карло, что требует значительных вычислительных ресурсов. В представленной работе показана возможность параллелизации вычислений с помощью графического процессора видеокарты персонального компьютера для повышения скорости вычислений, что позволяет моделировать процессы взаимодействия электронного пучка РЭМ с поверхностью исследуемого образца методом Монте-Карло в разумное время (порядка минуты для получения качественного профиля изображения).

Представленный способ измерений линейных размеров был применён для оперативного ¡п-вНи контроля параметров микролинз атомно-проекционного нанолитографа, изготовляемых с помощью технологии фокусированных ионных пучков. Возможность оперативного определения эффективного диаметра микролинзы атомно-проекционного литографа позволила подтвердить гипотезу о факторах, ограничивающих разрешение данного метода литографии. Также определение эффективного диаметра микролинз позволило подобрать оптимальные для существующей литографической установки параметры и уменьшить характерные размеры отдельных элементов создаваемых методом атомно-проекционной литографии структур вплоть до 30 нм, что позволяет использовать этот метод литографии для производства перспективных метаматериалов.

Внедрение результатов работы

Результаты диссертационной работы внедрены в процесс изготовления микролинз, являющихся ключевым элементом технологии атомно-проекционной нанолитографии, разрабатываемой Институтом спектроскопии РАН, МФТИ и ООО «Фортехлэб» для производства атомных наноструктур с критическими размерами порядка 30 нм. Также методические результаты по определению погрешности результатов измерений линейных размеров методом растровой электронной микроскопии и обеспечения привязки этих результатов к первичному эталону длины могут быть использованы в ведущих российских метрологических организациях, занимающихся проблемой обеспечения единства измерений в субмикронном и нанометровом диапазоне ВНИИМС, ВНИОФИ, НИЦПВ.

Апробация работы

Результаты диссертации опубликованы в 3 статьях в российских журналах (из списка ВАК), 2 статьях в иностранных журналах и сборниках трудов, а также 13 тезисах докладов на научных конференциях. Основные результаты обсуждались на следующих конференциях:

• Международной конференции «Микро- и наноэлектроника - 2009», ЮМЫЕ

2009, Звенигород, Россия, 5-9 октября 2009 г.

• 3rd International Congress on Advanced Electromagnetic Materials in Microwaves and Optics, Metamaterials-2009, Лондон, Великобритания, 30 августа - 4 сентября 2009 г.

• 1-ой и 11-ой Всероссийской конференции «Многомасштабное моделирование процессов и структур в нанотехнологиях», МИФИ, Москва, 12-14 марта 2008 г, 28-30 мая 2009 г.

• Научной сессии МИФИ-2009, Москва, 26-30 января 2009 г.

• 50-ой - 52-ой Научной конференции МФТИ «Современные проблемы фундаментальной и прикладной физики и математики», Москва-Долгопрудный:МФТИ, 2007-2009 гг.

• Всеукраинской конференции молодых ученых «Современное материаловедение: материалы и технологии», Киев, Украина, 12-14 ноября 2008 г.

• Российском совещании по актуальным проблемам полупроводниковой фотоэлектроники «Фотоника-2008», Новосибирск, Россия, 19-23 августа 2008 г.

• V-ой Международной конференции по актуальным проблемам физики, материаловедения, технологии и диагностики кремния, нанометровых структур и приборов на его основе «Кремний - 2008», Черноголовка, Россия, 1-4 июля 2008 г.

• VIIIth Conference of Advanced Mathematical and Computational Tools in Metrology and Testing, AMCTM-2008, Париж, Франция, 23-25 июня 2008 г.

• Международной конференции «Микро- и наноэлектроника - 2007», ICMNE-2007, Звенигород, Россия, 1-5 октября 2007 г.

Структура и объем диссертации

Диссертация состоит из введения, 4-х глав с заключениями к каждой главе, обшего заключения и списка цитированной литературы. Работа содержит 129 страниц, 52 рисунка и 7 таблиц, список литературы включает 162 наименования.

 
Заключение диссертации по теме "Физическая электроника"

Основные результаты диссертационной работы заключаются в следующем:

1. Разработан способ учета функции сбора вторичных электронов детектором Эверхарта-Торнли, что позволяет корректно учитывать конструктивные особенности РЭМ, применяемых в качестве средств т-вИи диагностики в технологических комплексах

2. Впервые разработана программа, моделирующая получение изображений методом растровой электронной микроскопии, использующая технологии параллельных вычислений с помощью графического процессора видеокарты персонального компьютера для ускорения моделирования процессов взаимодействия электронного зонда с исследуемым образцом методом Монте-Карло.

3. Впервые разработан способ проведения измерений линейных размеров методом растровой электронной микроскопии в диапазоне 10-1000 нм с использованием компьютерной модели РЭМ, основанный на параметризации профилей экспериментального и модельного изображений, позволяющий определить погрешность (неопределённости) результатов измерений и обеспечивающий прослеживаемость к первичному эталону длины.

4. Использование предложенного способа измерений линейных размеров для /л-в/й/ контроля параметров микролинз, изготовляемых с помощью технологии фокусированных ионных пучков, позволило воспроизводимо уменьшить минимальный размер элемента структур, создаваемых методом атомно-проекционного литографии, до 30 нм.

5. Разработан способ уменьшений эффективного диаметра микролинз атомно-проекционного литографа с использованием эффекта контаминации.

ЗАКЛЮЧЕНИЕ

 
Список источников диссертации и автореферата по физике, кандидата физико-математических наук, Заблоцкий, Алексей Васильевич, Долгопрудный

1. Semiconductor 1.dustry Association. International Technology Roadmap for Semiconductors (2008 edn) //http://www.itrs.net/Links/2008ITRS/

2. Ausschnitt C.P. and Lagus M.E. Seeing the forest for the trees: a new approach to CD control // Proc. of SPIE — 1998. — V. 3332. — p. 212.

3. Shishido С., Tanaka M. and Osaki M. CD bias reduction in CD-SEM line width measurement for 32 nm node and beyond using model-based library method // Proc. of SPIE — 2009 — V. 7272. — 72722C.

4. Frase C.G., Buhr E, and Dirscherl K. CD characterization of nanostructures in SEM metrology // Meas. Sci. Technol. — 2007 — V. 18 — p. 510.

5. Gorelikov D.V., Remillard J., Sullivan N.T. and Davidson M. Model-based CD-SEM metrology at low and ultralow landing energies: implementation and results for advanced 1С manufacturing // Surf. Interface Anal. — 2005 — V. 37 — p. 959.

6. Villarrubia J.S, Vladar A.E. and Postek M.T. Scanning electron microscope dimensional metrology using a model based library // Surf. Interface Anal. — 2005 — V. 37 —p. 951.

7. Волков В.В., Герасимов Л.Л., Капаев П.П. и др. Оптические методы измерения размеров элементов топологического рисунка БИС и СБИС // Микроэлектроника — 1980 — Т. 9 — №6 — с. 554.

8. Wiesendanger R. Contributions of scanning probe microscopy and spectroscopy to the investigation and fabrication of nanometer-scale structures // Hi. Vac. Sci. Technol. В. —1994 —V. 12 —№2. —p. 515.

9. Ricea B.J., Cao H., Grumskib M. and Robertsa J. The limits of CD metrology // Microelectronic Engineering — 2006 — V. 83 — I. 4-9 — p. 1023.

10. Dixson R., Fu J., Orji N. Guthrie W., Allen R., Cresswell M. CD-AFM reference metrology at NIST and SEMATECH // Proc. of SPIE — 2005 — V. 5752 — p. 324.

11. Кузин А.Ю., Марютин В.Н., В.В.Календин В.В. Методы и средства измерений линейных размеров в нанометровом диапазоне // Микросистемная техника — 2001 — № 4 — с. 3.

12. Austin L, Starke H. // Ann. Physik — 1902 — V. 9 — p. 271.

13. Zworykin V.K., Hillier J. and Snyder R.L. A scanning electron microscope // ASTM Bull. —1942—117— p. 15.

14. Zworykin V.K. and Hillier J. A Compact High Resolving Power Electron Microscope // J. Appl. Phys. — 1943 — 14 — p. 658.

15. Бронштейн И.М., Фрайман B.C. Вторичная электронная эмиссия // М.: Наука — 1969.

16. Шульман А.З., Фридрихов С.А. Вторично-эмиссионные методы исследования твердого тела // М.: Наука — 1977.

17. Практическая растровая электронная микроскопия // Под ред. Дж. Гоулдстейна и Х.Яковица. М.: Мир — 1978.

18. Гоулдстейн Дж., Нъюбери Д., Эчпин П. и др. Растровая электронная микроскопия и рентгеновский микроанализ. // М.: Мир— 1984.

19. Модинос А. Авто-, термо- и вторично-электронная эмиссионная спектроскопия. // М.: Наука — 1990.

20. Герус В.Л. Физические основы электронно-лучевых приборов. // М.: Наука — 1993.

21. Корнюшкин Ю.Д. Вторичная эмиссия электронов из тонких твердотельных пленок впрямом и обратном направлениях // Поверхность. Физика, химия, механика. — 1992 — №9 — с. 27.

22. Лорикян М.П., Ковалев З.Д., Трофимчук H.H. Вторичная эмиссия на прострел из пленок КС1 без проводящего слоя // РЭ. — 1969 — Т. 14 — №5 — с. 935.

23. Бушкевич В.Г., Бутслов ММ. Некоторые исследования вторичной электронной эмиссии на прострел // РЭ. — 1958 — Т. 3 — № 3 — с. 355.

24. Каничева И.Р., Бурцев В.А. Исследование прохождения электронов с энергией от 0.5 до 16 кэВ через коллодиевые и золотые пленки // ФТТ. — 1959 — Т. 1 — №8 — с. 1250.

25. Вятский А.Я., Трунев В.В. Прохождение, отражение и поглощение электронов в тонких пленках твердого тела // РЭ — 1967 — Т. 12 — №9 — с. 1636.

26. Каничева И.Р., Павлова A.A. Рассеяние электронов в тонких пленках меди и золота //ФТТ. —1966 — Т.8 — №5— с. 1641.

27. Фридрихов С.А., Мовнин С.М. Физические основы электронной техники: Учебник для вузов. // М.: Высшая школа — 1982.

28. Зорин И.Е., Коган В.М., Абрамова H.H. Умножение электронного потока в структурах на полуизолирующем арсениде галлия // РЭ. — 1981 — Т. 26 — № 4. — с. 889.

29. Гомоюнова М.В., Алиев Б.З. Вторичная электронная эмиссия грани (111) монокристалла вольфрама // ФТТ. — 1969 — Т. 11 — № 12 — с. 3619.

30. Фридрихов С.А., Шульман А.Р. Исследование вторичной электронной эмиссии некоторых диэлектриков при малых энергиях первичных электронов // ФТТ. — 1959 —Т. 1 — №8 —с. 1259.

31. Фридрихов С.А., Шульман А.Р. Исследование вторичной электронной эмиссии щелочно-галоидных монокристаллов при малых энергиях первичных электронов // ФТТ. — 1959 — Т. 1 — №8 — с. 1268.

32. Harrower G.A. Energy spectra of secondary electrons from molybdenum and tungsten for low primary energies // Phys. Rev. — 1956 — V. — 104 — No. 1. — p. 52.

33. Бронштейн И.М., Рощин B.B. Отражение электронов и вторичная электронная эмиссия от металлических поверхностей в области малых энергий первичных. Ч. 1 //ЖТФ. — 1958 — Т. 28 —№ 10 —с. 2200.

34. Бронштейн И.М., Рощин В.В. Отражение электронов и вторичная электронная эмиссия от металлических поверхностей в области малых энергий первичных. Ч. 2 // ЖТФ. —1958 — Т. 28 — № 11 — с. 2476.

35. Шульман А.Р., Ганичев Д.А. Вторичная электронная эмиссия и упругое отражение медленных электронов от различных граней монокристалла вольфрама // ФТТ. —1962 —Т.4 — №3 — с. 745.

36. Шульман А.Р., Ганичев Д.А. Вторичная электронная эмиссия и упругое отражение медленных электронов от монокристаллов германия при малых энергиях электронов // ФТТ. 1960 — Т. 2 — №3 — с. 530.

37. Крылова И.В. Новые аспекты экзоэмиссии электронов и ионов в исследованиях химии, физики и механики поверхности // Поверхность. Физика, химия, механика. — 1988. — №1 — с. 5.

38. Фридрихов С.А. О вторичной электронной эмиссии и упругом отражении медленных электронов от монокристалла NaCI // ФТТ. — 1960 — Т. 2 — № 1. — с. 171.

39. Фридрихов С.А., Горячева С.Н. Вторичная электронная эмиссия монокристаллов щелочно-галоидных соединений при малых энергиях первичных электронов // Изв. АН СССР. Сер. физ. — 1958 — Т. 22 — № 5 — с. 486.

40. Вавилов B.C., Киселев В.Ф., Мукашев Б.Н. Дефекты в кремнии и на его поверхности. // М: Наука — 1990.

41. Евстафьева Е.Н., Дицман С.А., Pay Э.И., Чукичев М.В. Электронная эмиссия и зарядка природного алмаза при его облучении электронами средних энергий // Известия РАН, серия физическая — 2007 — Т. 71 — № 10 — с. 1460

42. Евстафьева E.H., Pay Э.И., Сенов P.A. Некоторые аспекты кинетики зарядки диэлектрических мишеней электронными пучками с энергией 1-50 кэВ Н Известия РАН, серия физическая — 2008 — Т. 22 — № 11 — с. 1577.

43. Pay Э.И., Евстафьева Е.Н., Андрианов М.В. Механизмы зарядки диэлектриков при их облучении электронными пучками средних энергий // Физика твердного тела — 2008 — Т. 50 — с. 599

44. Oechsner Н. Analysis of electrically non-conducting sample structures with electron and mass spectroscopic methods // Thin Solid Films. — 1999 — V. 341 — No. 1 — p. 105.

45. McMullan D. Investigations relating to the design of electron microscopes. Ph. D. Diss. // Cambridge Univ. — 1952.

46. McMullan D. // Proc. Inst. Elect. Eng. 100 Part II — 1953 — 245.

47. Smith K.C.A. The scanning electron microscope and its fields of application. Ph. D. Diss. // Cambridge Univ. — 1956.

48. Breton B.C., McMullan D., Smith K.C.A. (eds) // Adv. Imaging Electron Phys — 2004 — 133 — 576.

49. Everhart Т.Е., Thomley R.F.M. //. Sci. Instr. — 1960 — 37 — p. 246.

50. Oatley C.W., Everhart Т.Е. The Examination of p-n Junctions with the Scanning Electron Microscope // Journal of. Electronic — 1957 — 2 — p. 568.

51. Smith K.C.A., Oatley C.W. // Br. J. Appl. Phys. — 1955 — 6 — p. 391.

52. Allen J.W., Smith K.C.A. // J. Electron. — 1956 — 1 — p. 439.

53. Atack D., Smith K.C.A. // Pulp Paper Mag. Can. — 1956 — 57 — p. 245.

54. Smith K.C.A. // in Cambridge Symposium on the Electron 1997, loM Communications, London — 1998 — p. 552.

55. Smith K.C.A., Wells O.C., McMullan D. The fiftieth anniversary if the fist applications of the scanning electron mictoscope in material research // Physcis Procedia — 2008 — 1 — p. 3.

56. Заблоцкий A.B., Батурин A.C., Тишин E.A., Чуприк А.А. Растровый электронный микроскоп: Лабораторная работа // М.: МФТИ — 2007.

57. Дюков В.Г. Растровая электронная микроскопия поверхностного потенциального рельефа и ее применение // Поверхность. Физика, химия, механика. — 1982 —№ 11 — с. 1.

58. Postek М.Т., Keery W.J., Frederick N.V. Low-profile high-efficiency microchannelplate detector system for scanning electron microscopy applications // Rev. Sci. Instrum. —1990 — V.61 — N 6 — p. 1648.

59. Акимов Ю.К., Игнатьев O.B., Калинин А.И. Полупроводниковые детекторы в экспериментальной физике. // М.: Энергоатомиздат —1989.

60. Oho Е., Sasaki Т., Капауа К. Automatization of measurement of SEM beam diameter using on-line digital computer // Res. Rep. Kogakuin Univ. —1985 —V. 59 — p. 106.

61. Дюков В.Г., Непийко C.A., Седов H.H. Электронная микроскопия локальных потенциалов. // Киев: Наук, думка — 1991.

62. Van der Ziel A. A modified theory of production of secondary electrons in solids // Phys. Rev. —1953 — V. 92 — №1 — p. 35.

63. Броудай И., Мерей Дж. Физические основы микротехнологии. // М.: Мир — 1985.

64. Альфа-, бета- и гамма-спектроскопия // Вып. 1. Под ред. К.Зигбана. Пер. с англ. М.: Атомиздат —1969.

65. Валиев К.А., Раков А.В. Физические основы субмикронной литографии в микроэлектронике. // М.: Радио и связь — 1984.

66. Методы анализа поверхностей // Под ред. А. Зандерны. Пер. с англ. М.: Мир — 1979.

67. Микроанализ и растровая электронная микроскопия // Под ред. Ф. Мориса, Л. Мени, Р. Тиксье. Пер. с фр. М.: Металлургия—1985.

68. Новиков Ю.А., Прохоров A.M., Раков А.В. Эмиссия электронов из поверхностных состояний // Поверхность. Физика, химия, механика — 1993 — №3 — с. 22.

69. Nosker R. W. Scattering of highly focused kilovolt electron beams by solids // J. Appl. Phys. —1969 — V.40 — p. 1872.

70. Bethe H.A., Rose M.E., Smith H.I. Multiple scattering of electrons // Proc. Am. Philos. Soc. —1938 — V.78 — p.573

71. Гайтлер В. Квантовая теория излучения // Пер. с англ. М.: Изд-во иностр. лит.1956.

72. Шпольский Э.В. Атомная физика. Т. 1. // М.: Наука — 1974.

73. Joy D.C. and Luo S. // Scanning — (1989) — 11 — p. 176.

74. Tanuma S., Powell C.J. and Penn D.R. // Surf. Interface Anal. — 2005 — 37 — p. 978

75. Tanuma S., Powell C.J. and Penn D.R. // Journal of Applied Physics. — 2008 — 103063707.

76. Bethe H.A., Askin J. // In Experimental Nuclear Physics, New York: Wiley —1953.

77. Махов А.Ф. О проникновении электронов в твердые тела: распределение электронов по глубине//ФТТ. — 1960 — Т. 2 — №9 — с. 2172.

78. Joy D.C. Monte Carlo Modeling for Electron Microscopy and Microanalysis. // New York: Oxford University Press—1995.

79. Reimer L. Electron-specimen interactions //Scanning Electron. Microscopy. — 19792, —p. 111.

80. Хейфец A.C. Отличие спектров ионизации атома в (у,е)- и (е,2е)-реакциях //ЖЭТФ —1985 —Т. 89 — №2(8) — с. 459.

81. Ермаков СМ., Михайлов Г.А. Курс статистического моделирования // М.: Наука —1976.

82. Дарзнек C.A., Желкобаев Ж.Е., Календин B.B., Новиков* Ю.А. Лазерный интерферометрический измеритель наноперемещений // Труды Института общей физики им. A.M. Прохорова РАН. — 2006 — Т. 62 — с. 14.

83. Lowney J.R., Postek М.Т., Vladar А.Е. A Monte Carlo model for SEM linewidth metrology // Proc of SPIE. — 1994 — V. 2196 — p. 85.

84. Reimer L. and Lodding В., Calculation and Tabulation of Mott Cross-Sections for Large-Angle Electron Scattering // Scanning —1984 — V. 6(3) — p. 128.

85. Czyzewski Z., MacCallum D., Romig A., and Joy D.C. Calculations of Mott scattering cross-section // J. Appl. Phys. — 1990 — V. 68(7) — p. 3066.

86. Lowney J.R. MONSEL-II: Monte Carlo Simulation of SEM Signals for Linewidth Metrology // Microbeam Analysis. — 1995 — V. 4 — p. 131.

87. Lowney J.R. Use of Monte Carlo Modeling for Interpreting SEM Linewidth Measurements // Scanning. — 1995 — V. 17 — p. 281.

88. Lowney J.R., Vladar A.E. and Postek M.T. High-accuracy critical-dimension metrology using a scanning electron microscope // Proc of SPIE. — 1996 — V. 2725p. 515.

89. Mcintosh J.M., Kane B.C., Bindell J.B. and Vartuli C.B. Approach to CD SEM metrology utilizing the full waveform signal // Proc of SPIE. — 1998 — V. 3332 — p. 51.

90. Новиков Ю.А., Раков A.B., Стеколин И.Ю. Вторичная электронная эмиссия рельефной поверхности: Исследование в растровом электронном микроскопе // Поверхность. Физика, химия, механика. — 1994 — № 4. — с. 75.

91. Новиков Ю.А., Раков А.В., Седов СВ. и др. Вторичная электронная эмиссия рельефной поверхности: Влияние глубины рельефа // Поверхность. Физика, химия, механика — 1994 — № 5 — с. 108.

92. Новиков Ю.А., Раков А.В., Седов СВ. и др. Вторичная электронная эмиссия рельефной поверхности: Влияние энергии первичных электронов // Поверхность. Физика, химия, механика. — 1994 — № 12 — с. 10.

93. Новиков Ю.А., Раков А.В., Стеколин И.Ю., Стрижков И.Б. Вторичная электронная эмиссия рельефной поверхности: Влияние ширины элемента рельефа // Поверхность. Физика, химия, механика. — 1994 — № 12 — с. 47.

94. Новиков Ю.А., Раков А.В., Стеколин И.Ю. Взаимодействие вторично-эмиссионных электронов с рельефной поверхностью: Линейная геометрическая модель // Поверхность. Физика, химия, механика. — 1994 — № 6 — с. 5.

95. Новиков Ю.А., Равков А.В. Вторичная электронная эмиссия рельефной поверхности твердого тела II Труды института общей физики. — 1998 — Т. 55с. 3.

96. ГОСТ Р 8.628-2007 ГСИ. Меры рельефные нанометрового диапазона из монокристаллического кремния. Требования к геометрическим формам, линейным размерам и выбору материала для изготовления.

97. ГОСТ Р 8.629-2007 ГСИ. Меры рельефные нанометрового диапазона с трапецеидальным профилем элементов. Методика поверки.

98. ГОСТ Р 8.631-2007 ГСИ. Микроскопы электронные растровые измерительные. Методика поверки.

99. ГОСТ Р 8.636-2007 ГСИ. Микроскопы электронные растровые. Методика калибровки.

100. ГОСТ Р 8.644-2008 ГСВ. Меры рельефные нанометрового диапазона с трапецеидальным профилем элементов. Методика калибровки.

101. Новиков Ю.А., Раков А.В. Проблемы РЭМ-измерений размеров субмикронных элементов рельефа поверхности твердого тела: 2. Новая концепция РЭМ-метрологии //Микроэлектроника. — 1996 — Т. 25 — № 6 — с. 426.

102. Новиков Ю.А., Раков А.В., Седов СВ., Стрижков И.Б. Измерение размеров трапециевидных элементов СБИС в РЭМ // Электронная промышленность. — 1995 —№3 —с. 50.

103. Новиков Ю.А., Раков А.В., Стеколин И.Ю. Измерение диаметра электронного зонда растровым электронным микроскопом // Измер. техника. — 1995 — № 1 — с. 28.

104. Новиков Ю.А., Пешехонов СВ., Раков А.В. и др. Определение основных характеристик РЭМ с помощью щелевидных субмикронных структур в кремнии //Изв. РАН. Сер. физ. — 1993 — Т. 57 — № 8 — с.84.

105. Novikov Yu.A., Rakov A.V., Todua P.A. Linear sizes measurements of relief elements with th width less then 100 nm on a SEM // Proc of SPIE. — 2006 — Vol. 6260 —p. 626015.

106. Gavrilenko V.P., Filippov M.N., Novikov Yu.A., Rakov A.V., Todua P.A. Measurements of linear sizes of relief elements in the nanometer range using scanning electron microscope H Proc of SPIE. — 2007 — Vol. 6648 — p. 66480T-1.

107. Novikov Yu.A., Ozerin Yu.V., Rakov A.V., Todua P.A. Method for linear measurements in the nanometre range II Measurement Science and Technology — 2007 —N 18 —p. 367

108. Davidson M.P., Vladar A.E. An inverse scattering approach to SEM line width measurements // Proc of SPIE. — 1999 — Vol. 3677 — p. 640.

109. Bevington P.R, Robinson D.K. Data reduction and error analysis for the physicial sciences // McGraw-Hill: New York —1992.

110. Villarrubia J.S., Vladar A.E., Lowney J.R., Postek M.T. Edge determination for polycrystaliine silicon lines on gate oxide // Proc of SPIE. — 2001 — Vol. 4344 — p. 147.

111. Villarrubia J.S., Vladar A.E., Lowney J.R., Postek M.T. Scanning electron microscope analog of scatterometry // Proc of SPIE. — 2002 — Vol. 4689 — p. 304.

112. Metropolis N., Ulam S. The Monte Carlo Method // Journal of the American Statistical Association —1949 — 44 (247) — p. 335.

113. Newbury D.E. and Myklebust R.L. // Analytical Electron Microscopy — ed. R.H. Geiss R.H. — San Francisco Press: San Franciscro — 1981 — p. 91.

114. Streitwolf M. W. //Ann. Physik —1959 — N. 3 — p. 183.

115. Murata K., Kyser D.F. and Ting C.H. Monte Carlo simulation of fast secondary electron production in electron beam resists // J. Appl. Phys. — 1981 — N. 52 — p. 4396.

116. Moller C. Über den Stoss zweier Teilchen unter Berücksichtigung der. Retardation der Kräfte. II Z. Phys. — 1931 — N. 70 — p. 786.

117. Bethe H.A. Theory of the passage of rapid corpuscular rays through matter // Ann. Phys. — 1930 — V. 5 — p. 325.

118. Koch H.W. and Motz J.W. Bremsstrahlung Cross-Section Formulas and Related Data // Revs. Mod. Phys. — 1959 — N. 31 — p. 920.

119. Kirkpatrick P. and Wiedmann L. Theoretical Continuous X-Ray Energy and Polarization // Phys. Rev. — 1945 — N. 67 — p. 321.

120. Ferrel R.A. Theory of Positron Annihilation in Solids // Phys. Rev. — 1956 — N. 101 — p. 554.

121. Bennet A. J. And Laura M. R. Effect of primary electron diffusion on secondary-electron emission // Phys. Rev. B. — 1972 — V. 5 — N 1. — 1956.

122. Halfhill T.R. Parallel Processing with CUDA // Microprocessor report — 2008 — 01/28/08-1.

123. Бреббия К., Теллес Ж., Вроубел Л. // Методы граничных элементов: Пер. с англ. — М.: Мир — 1987 — 524 с.

124. Rübenkönig О // The Finite Difference Method An introduction — Albert Ludwigs University of Freiburg — 2006.

125. Галлагер P. // Метод конечных элементов. Основы: Пер. с англ. — М.: Мир — 1984 — 428 с.

126. Ritz W. Neue Methode zur Lösung gewisser Randwertaufgaben // Gesellschaft der Wissenschaften zu Göttingen. Math.-physik. Klasse. Nachrichten —Göttingen — 1908

127. Бреббия.К., Теллес Ж., Вроубел Л. // Методы граничных элементов: Пер. с англ. — М.: Мир — 1987 — 524 с.

128. Rübenkönig О // The Finite Difference Method An introduction — Albert Ludwigs University of Freiburg — 2006.

129. Галлагер P. // Метод конечных элементов. Основы: Пер. с англ. — М.: Мир — 1984 — 428 с.

130. Ritz W. Neue Methode zur Lösung gewisser Randwertaufgaben // Gesellschaft der Wissenschaften zu Göttingen. Math.-physik. Klasse. Nachrichten — Göttingen — 1908.

131. Заблоцкий A.B., Батурин A.C., Бормашов B.C., Кадушников P.M., Штуркин H.A. Компьютерное моделирование растрового электронного микроскопа для целей нанометрологии Н Российские Нанотехнологии — 2007 — № 11-12 — Т.2 — с. 40.

132. Заблоцкий A.B., Батурин A.C., Шешин Е.П., Бормашов B.C., Нагирный В.П., Коростылев Е.В. Компьютерное моделирование средств измерений в нанометрологии // Нано- и микросистемная техника — 2009 — № 8 — с. 2.

133. Melentiev P.N., Zablotskiy A.V., Lapshin D.A., Sheshin E.P., Baturin A.S. , Balykin V.l. Nanolithography based on an atom pinhole camera. // Nanotechnology. — 20091. V. 20 — N. 23 — 235301

134. Bucknall D G. // Nanolithography and Patterning Techniques in Microelectronics — Cambridge: Woodhead Publishing — 2005.

135. Chen Y. and Pepin A. Nanofabrication: Conventional and nonconventional methods //Electrophoresis. — 2001 — V. 22 — I. 2 — p. 187.

136. Terris B. D. and Thomson T. Nanofabricated and self-assembled magnetic structures as data storage media // J. Phys. D: Appl. Phys. — 2005 — 38 — R 199.

137. Luthi R., Schüttler R.R., Brugger J., Vettiger P., Weiland M.E. and Gimzewski J.K. Parallel nanodevice fabrication using a combination of shadow mask and scanning probe methods // Appl. Phys. Lett. — 1999 — V 75 — p. 1314.

138. Eigler D.M. and Schweizer E.K. Positioning single atoms with a scanning tunnelling microscope // Nature — 1990 — V. 344 — p. 524-6

139. Balykin V.l. and Letokhov V.S. // Atom Optics with Laser Light — Chun Harwood Academic—1995.

140. Balykin V.I, Klimov V.V and Letokhov V.S // Handbook of Theoretical and Computational Nanotechnology 7th edn — Amsterdam: Elsevier — 2006.

141. Meystre P. // Atom Optics — New York: Springer — 2001.

142. Mutzel M., Muller M., Haubrich D., Rasbach D. and Meschede D. The atom pencil: serial writing in the sub-micrometre domain II Appl. Phys. B. — 2005 — V. 80 — p. 941.

143. Balykin V.l. and Letokhov V.S. The possibility of deep laser focusing of an atomic beam into the A-region // Opt. Commun. — 1987 — V. 64 — p.151-6

144. Bradley C., Anderson W., McClelland J. J. and Celotta R. Nanofabrication via atom optics //Appl. Surf. Sei: — 1999 —V. 141 — p. 210.

145. McClelland,J. J. // Handbook of Nanostructured Materialsand Nanotechnology vol I

146. San Diego, CA: Academic — 2000 — pp 335-85.

147. Li Y.T. et al. High-energy electrons produced in subpicosecond laser-plasma interactions from subrelativistic laser intensities to relativistic intensities II Phys. Rev. E — 2004 — V. 69 — p. 036405.

148. Balykin V.I., Borisov P.A., Letokhov V.S., Melentiev P.N., Rudnev S.N., Cherkun A.P., Akimenko A.P., Apel P.Y. and Skuratov V.A Atom "pinhole camera" with nanometer resolution // JETP Lett. — 2006 — V 84 — p. 466-9

149. Meyer C.F. // The Diffraction of Light, X-ray and Material Particles — Michigan: Edwards J W and Arbor Ann — 1949.

150. Melentiev P.N., Zablotskiy A.V., Kuzin А.А., Lapshin D.A., Baturin A.S., Balykin V.I. Nanolithography based on an atom pinhole camera for fabrication of metamaterials. // Metamaterials — 2009 — V. 3.1 3-4 — p. 157.Y129 \